From f3f159c6dc2b2759639c48855d542f4c593ecd5e Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Wed, 16 Dec 2020 11:01:42 +1100 Subject: [PATCH] Check in verilog --- microwatt.v | 30389 ++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 30389 insertions(+) create mode 100644 microwatt.v diff --git a/microwatt.v b/microwatt.v new file mode 100644 index 0000000..5b007cc --- /dev/null +++ b/microwatt.v @@ -0,0 +1,30389 @@ +/* Generated by Yosys 0.9+3833 (git sha1 UNKNOWN, clang 7.0.1-8+deb10u2 -fPIC -Os) */ + +/* Hard macros */ +`ifdef SIM +`include "RAM_512x64.v" +`include "register_file.v" +`include "icache.v" +`include "dcache.v" +`include "multiply_4.v" +`endif + +/* JTAG */ +`include "tap_top.v" + +/* UART */ +`include "raminfr.v" +`include "uart_receiver.v" +`include "uart_rfifo.v" +`include "uart_tfifo.v" +`include "uart_transmitter.v" +`include "uart_defines.v" +`include "uart_regs.v" +`include "uart_sync_flops.v" +`include "uart_wb.v" +`include "uart_top.v" + + + +module control_1(clk, rst, complete_in, valid_in, flush_in, busy_in, deferred, sgl_pipe_in, stop_mark_in, gpr_write_valid_in, gpr_write_in, gpr_bypassable, update_gpr_write_valid, update_gpr_write_reg, gpr_a_read_valid_in, gpr_a_read_in, gpr_b_read_valid_in, gpr_b_read_in, gpr_c_read_valid_in, gpr_c_read_in, cr_read_in, cr_write_in, cr_bypassable, valid_out, stall_out, stopped_out, gpr_bypass_a, gpr_bypass_b, gpr_bypass_c, cr_bypass); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire [31:0] _06_; + wire [2:0] _07_; + wire [2:0] _08_; + wire [4:0] _09_; + wire _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire [1:0] _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire [1:0] _20_; + wire [1:0] _21_; + wire _22_; + wire [1:0] _23_; + wire [1:0] _24_; + wire _25_; + wire _26_; + wire _27_; + wire [1:0] _28_; + wire [1:0] _29_; + wire _30_; + wire _31_; + wire _32_; + wire [2:0] _33_; + wire _34_; + wire [1:0] _35_; + wire _36_; + wire _37_; + wire _38_; + wire _39_; + wire [1:0] _40_; + wire _41_; + wire _42_; + wire _43_; + wire [1:0] _44_; + wire [1:0] _45_; + wire _46_; + wire _47_; + wire [1:0] _48_; + wire [2:0] _49_; + wire _50_; + wire _51_; + wire _52_; + wire [31:0] _53_; + wire [2:0] _54_; + wire _55_; + wire _56_; + input busy_in; + input clk; + input complete_in; + output cr_bypass; + input cr_bypassable; + input cr_read_in; + wire cr_stall_out; + input cr_write_in; + wire cr_write_valid; + input deferred; + input flush_in; + input [6:0] gpr_a_read_in; + input gpr_a_read_valid_in; + input [6:0] gpr_b_read_in; + input gpr_b_read_valid_in; + output gpr_bypass_a; + output gpr_bypass_b; + output gpr_bypass_c; + input gpr_bypassable; + input [6:0] gpr_c_read_in; + input gpr_c_read_valid_in; + input [6:0] gpr_write_in; + wire gpr_write_valid; + input gpr_write_valid_in; + reg [4:0] r_int = 5'h00; + input rst; + input sgl_pipe_in; + wire stall_a_out; + wire stall_b_out; + wire stall_c_out; + output stall_out; + input stop_mark_in; + output stopped_out; + input [6:0] update_gpr_write_reg; + input update_gpr_write_valid; + input valid_in; + output valid_out; + always @(posedge clk) + r_int <= { _54_, _48_ }; + assign _04_ = ~ flush_in; + assign _05_ = valid_in & _04_; + assign _06_ = { r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4], r_int[4:2] } - 32'd1; + assign _07_ = complete_in ? _06_[2:0] : r_int[4:2]; + assign _08_ = flush_in ? 3'h1 : _07_; + assign _09_ = rst ? 5'h00 : { _08_, r_int[1:0] }; + assign _10_ = rst ? 1'h0 : _05_; + assign _11_ = { _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4:2] } == 32'd0; + assign _12_ = stop_mark_in & _11_; + assign _13_ = _12_ ? 1'h1 : 1'h0; + assign _14_ = { _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4:2] } != 32'd0; + assign _15_ = _14_ ? 2'h1 : 2'h2; + assign _16_ = _14_ ? 1'h1 : 1'h0; + assign _17_ = stall_a_out | stall_b_out; + assign _18_ = _17_ | stall_c_out; + assign _19_ = _18_ | cr_stall_out; + assign _20_ = rst ? 2'h0 : r_int[1:0]; + assign _21_ = sgl_pipe_in ? _15_ : _20_; + assign _22_ = sgl_pipe_in ? _16_ : _19_; + assign _23_ = rst ? 2'h0 : r_int[1:0]; + assign _24_ = _10_ ? _21_ : _23_; + assign _25_ = _10_ ? _22_ : 1'h0; + assign _26_ = r_int[1:0] == 2'h0; + assign _27_ = { _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4:2] } == 32'd0; + assign _28_ = rst ? 2'h0 : r_int[1:0]; + assign _29_ = _27_ ? 2'h2 : _28_; + assign _30_ = _27_ ? 1'h0 : 1'h1; + assign _31_ = r_int[1:0] == 2'h1; + assign _32_ = { _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4], _09_[4:2] } == 32'd0; + assign _33_ = rst ? 3'h0 : _08_; + assign _34_ = { _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_[2], _33_ } != 32'd0; + assign _35_ = _34_ ? 2'h1 : 2'h2; + assign _36_ = _34_ ? 1'h1 : 1'h0; + assign _37_ = stall_a_out | stall_b_out; + assign _38_ = _37_ | stall_c_out; + assign _39_ = _38_ | cr_stall_out; + assign _40_ = _42_ ? _35_ : 2'h0; + assign _41_ = sgl_pipe_in ? _36_ : _39_; + assign _42_ = _10_ & sgl_pipe_in; + assign _43_ = _10_ ? _41_ : 1'h0; + assign _44_ = rst ? 2'h0 : r_int[1:0]; + assign _45_ = _32_ ? _40_ : _44_; + assign _46_ = _32_ ? _43_ : 1'h1; + assign _47_ = r_int[1:0] == 2'h2; + function [1:0] \20544 ; + input [1:0] a; + input [5:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \20544 = b[1:0]; + 3'b?1?: + \20544 = b[3:2]; + 3'b1??: + \20544 = b[5:4]; + default: + \20544 = a; + endcase + endfunction + assign _48_ = \20544 (2'hx, { _45_, _29_, _24_ }, { _47_, _31_, _26_ }); + assign _49_ = rst ? 3'h0 : _08_; + function [0:0] \20549 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \20549 = b[0:0]; + 3'b?1?: + \20549 = b[1:1]; + 3'b1??: + \20549 = b[2:2]; + default: + \20549 = a; + endcase + endfunction + assign _50_ = \20549 (1'hx, { _46_, _30_, _25_ }, { _47_, _31_, _26_ }); + assign _51_ = _50_ ? 1'h0 : _10_; + assign _52_ = ~ deferred; + assign _53_ = { _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_[2], _49_ } + 32'd1; + assign _54_ = _55_ ? _53_[2:0] : _49_; + assign gpr_write_valid = _51_ ? gpr_write_valid_in : 1'h0; + assign cr_write_valid = _51_ ? cr_write_in : 1'h0; + assign _55_ = _51_ & _52_; + assign _56_ = _50_ | deferred; + cr_hazard_1 cr_hazard0 ( + .busy_in(busy_in), + .bypassable(cr_bypassable), + .clk(clk), + .complete_in(complete_in), + .cr_read_in(cr_read_in), + .cr_write_in(cr_write_valid), + .deferred(deferred), + .flush_in(flush_in), + .issuing(_51_), + .stall_out(cr_stall_out), + .use_bypass(_03_) + ); + gpr_hazard_1 gpr_hazard0 ( + .busy_in(busy_in), + .bypass_avail(gpr_bypassable), + .clk(clk), + .complete_in(complete_in), + .deferred(deferred), + .flush_in(flush_in), + .gpr_read_in(gpr_a_read_in), + .gpr_read_valid_in(gpr_a_read_valid_in), + .gpr_write_in(gpr_write_in), + .gpr_write_valid_in(gpr_write_valid), + .issuing(_51_), + .stall_out(stall_a_out), + .ugpr_write_reg(update_gpr_write_reg), + .ugpr_write_valid(update_gpr_write_valid), + .use_bypass(_00_) + ); + gpr_hazard_1 gpr_hazard1 ( + .busy_in(busy_in), + .bypass_avail(gpr_bypassable), + .clk(clk), + .complete_in(complete_in), + .deferred(deferred), + .flush_in(flush_in), + .gpr_read_in(gpr_b_read_in), + .gpr_read_valid_in(gpr_b_read_valid_in), + .gpr_write_in(gpr_write_in), + .gpr_write_valid_in(gpr_write_valid), + .issuing(_51_), + .stall_out(stall_b_out), + .ugpr_write_reg(update_gpr_write_reg), + .ugpr_write_valid(update_gpr_write_valid), + .use_bypass(_01_) + ); + gpr_hazard_1 gpr_hazard2 ( + .busy_in(busy_in), + .bypass_avail(gpr_bypassable), + .clk(clk), + .complete_in(complete_in), + .deferred(deferred), + .flush_in(flush_in), + .gpr_read_in(gpr_c_read_in), + .gpr_read_valid_in(gpr_c_read_valid_in), + .gpr_write_in(gpr_write_in), + .gpr_write_valid_in(gpr_write_valid), + .issuing(_51_), + .stall_out(stall_c_out), + .ugpr_write_reg(update_gpr_write_reg), + .ugpr_write_valid(update_gpr_write_valid), + .use_bypass(_02_) + ); + assign valid_out = _51_; + assign stall_out = _56_; + assign stopped_out = _13_; + assign gpr_bypass_a = _00_; + assign gpr_bypass_b = _01_; + assign gpr_bypass_c = _02_; + assign cr_bypass = _03_; +endmodule + +module core_0_602f7ae323a872754ff5ac989c2e00f60e206d8e( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + clk, rst, alt_reset, wishbone_insn_in, wishbone_data_in, dmi_addr, dmi_din, dmi_req, dmi_wr, ext_irq, wishbone_insn_out, wishbone_data_out, dmi_dout, dmi_ack, terminated_out); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire [42:0] _00_; + wire [106:0] _01_; + wire [53:0] _02_; + wire _03_; + wire [12:0] _04_; + wire [9:0] _05_; + wire [71:0] _06_; + wire [12:0] _07_; + wire [306:0] _08_; + wire [14:0] _09_; + wire [9:0] _10_; + wire [106:0] _11_; + wire [19:0] _12_; + wire [63:0] _13_; + wire _14_; + wire _15_; + input alt_reset; + reg alt_reset_d; + input clk; + wire complete; + wire core_rst; + wire [36:0] cr_file_to_decode2; + wire dbg_core_is_stopped; + wire dbg_core_rst; + wire dbg_core_stop; + wire dbg_gpr_ack; + wire [6:0] dbg_gpr_addr; + wire [63:0] dbg_gpr_data; + wire dbg_gpr_req; + wire dbg_icache_rst; + wire dcache_stall_out; + wire [67:0] dcache_to_loadstore1; + wire [66:0] dcache_to_mmu; + wire decode1_busy; + wire decode1_flush; + wire [153:0] decode1_to_decode2; + wire [64:0] decode1_to_fetch1; + wire decode2_stall_out; + wire decode2_to_cr_file; + wire [379:0] decode2_to_execute1; + wire [23:0] decode2_to_register_file; + output dmi_ack; + input [3:0] dmi_addr; + input [63:0] dmi_din; + output [63:0] dmi_dout; + input dmi_req; + input dmi_wr; + wire ex1_busy_out; + wire ex1_icache_inval; + wire [68:0] execute1_to_fetch1; + wire [325:0] execute1_to_loadstore1; + wire [193:0] execute1_to_writeback; + input ext_irq; + wire fetch1_flush; + wire fetch1_stall_in; + wire [69:0] fetch1_to_icache; + wire flush; + wire icache_stall_out; + wire [98:0] icache_to_decode1; + wire [142:0] loadstore1_to_dcache; + wire [8:0] loadstore1_to_execute1; + wire [144:0] loadstore1_to_mmu; + wire [79:0] loadstore1_to_writeback; + wire [31:0] log_rd_addr; + wire [63:0] log_rd_data; + wire [31:0] log_wr_addr; + wire [131:0] mmu_to_dcache; + wire [130:0] mmu_to_icache; + wire [70:0] mmu_to_loadstore1; + wire [63:0] msr; + wire [191:0] register_file_to_decode2; + input rst; + reg rst_dbg = 1'h1; + reg rst_dcache = 1'h1; + reg rst_dec1 = 1'h1; + reg rst_dec2 = 1'h1; + reg rst_ex1 = 1'h1; + reg rst_fetch1 = 1'h1; + reg rst_icache = 1'h1; + reg rst_ls1 = 1'h1; + wire sim_cr_dump; + wire terminate; + output terminated_out; + input [65:0] wishbone_data_in; + output [106:0] wishbone_data_out; + input [65:0] wishbone_insn_in; + output [106:0] wishbone_insn_out; + wire [46:0] writeback_to_cr_file; + wire [71:0] writeback_to_register_file; + assign core_rst = dbg_core_rst | rst; + always @(posedge clk) + rst_fetch1 <= core_rst; + always @(posedge clk) + rst_icache <= core_rst; + always @(posedge clk) + rst_dcache <= core_rst; + always @(posedge clk) + rst_dec1 <= core_rst; + always @(posedge clk) + rst_dec2 <= core_rst; + always @(posedge clk) + rst_ex1 <= core_rst; + always @(posedge clk) + rst_ls1 <= core_rst; + always @(posedge clk) + rst_dbg <= rst; + always @(posedge clk) + alt_reset_d <= alt_reset; + assign fetch1_stall_in = icache_stall_out | decode1_busy; + assign fetch1_flush = flush | decode1_flush; + assign _03_ = dbg_icache_rst | ex1_icache_inval; + cr_file_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f cr_file_0 ( + .clk(clk), + .d_in(decode2_to_cr_file), + .d_out(cr_file_to_decode2), + .log_out(_07_), + .sim_dump(sim_cr_dump), + .w_in(writeback_to_cr_file) + ); + dcache dcache_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .clk(clk), + .d_in(loadstore1_to_dcache), + .d_out(dcache_to_loadstore1), + .m_in(mmu_to_dcache), + .m_out(dcache_to_mmu), + .rst(rst_dcache), + .stall_out(dcache_stall_out), + .wishbone_in(wishbone_data_in), + .wishbone_out(_11_) + ); + core_debug_0 debug_0 ( + .clk(clk), + .core_rst(dbg_core_rst), + .core_stop(dbg_core_stop), + .core_stopped(dbg_core_is_stopped), + .dbg_gpr_ack(dbg_gpr_ack), + .dbg_gpr_addr(dbg_gpr_addr), + .dbg_gpr_data(dbg_gpr_data), + .dbg_gpr_req(dbg_gpr_req), + .dmi_ack(_14_), + .dmi_addr(dmi_addr), + .dmi_din(dmi_din), + .dmi_dout(_13_), + .dmi_req(dmi_req), + .dmi_wr(dmi_wr), + .icache_rst(dbg_icache_rst), + .log_data({ _06_, _07_, _12_, 1'h0, _10_, 5'h00, _09_, _05_, _04_, _02_, _00_ }), + .log_read_addr(log_rd_addr), + .log_read_data(log_rd_data), + .log_write_addr(log_wr_addr), + .msr(msr), + .nia(fetch1_to_icache[69:6]), + .rst(rst_dbg), + .terminate(terminate), + .terminated_out(_15_) + ); + decode1_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f decode1_0 ( + .busy_out(decode1_busy), + .clk(clk), + .d_out(decode1_to_decode2), + .f_in(icache_to_decode1), + .f_out(decode1_to_fetch1), + .flush_in(flush), + .flush_out(decode1_flush), + .log_out(_04_), + .rst(rst_dec1), + .stall_in(decode2_stall_out) + ); + decode2_0_0e356ba505631fbf715758bed27d503f8b260e3a decode2_0 ( + .busy_in(ex1_busy_out), + .c_in(cr_file_to_decode2), + .c_out(decode2_to_cr_file), + .clk(clk), + .complete_in(complete), + .d_in(decode1_to_decode2), + .e_out(decode2_to_execute1), + .flush_in(flush), + .log_out(_05_), + .r_in(register_file_to_decode2), + .r_out(decode2_to_register_file), + .rst(rst_dec2), + .stall_out(decode2_stall_out), + .stopped_out(dbg_core_is_stopped) + ); + execute1_0_0e356ba505631fbf715758bed27d503f8b260e3a execute1_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .busy_out(ex1_busy_out), + .clk(clk), + .dbg_msr_out(msr), + .e_in(decode2_to_execute1), + .e_out(execute1_to_writeback), + .ext_irq_in(ext_irq), + .f_out(execute1_to_fetch1), + .flush_out(flush), + .fp_in(4'h0), + .fp_out(_08_), + .icache_inval(ex1_icache_inval), + .l_in(loadstore1_to_execute1), + .l_out(execute1_to_loadstore1), + .log_out(_09_), + .log_rd_addr(log_rd_addr), + .log_rd_data(log_rd_data), + .log_wr_addr(log_wr_addr), + .rst(rst_ex1), + .terminate_out(terminate) + ); + fetch1_05c2030ccbceb505e9c9c1e14c8b4fa317497e84 fetch1_0 ( + .alt_reset_in(alt_reset_d), + .clk(clk), + .d_in(decode1_to_fetch1), + .e_in(execute1_to_fetch1), + .flush_in(fetch1_flush), + .i_out(fetch1_to_icache), + .log_out(_00_), + .rst(rst_fetch1), + .stall_in(fetch1_stall_in), + .stop_in(dbg_core_stop) + ); + icache icache_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .clk(clk), + .flush_in(fetch1_flush), + .i_in(fetch1_to_icache), + .i_out(icache_to_decode1), + .inval_in(_03_), + .m_in(mmu_to_icache), + .rst(rst_icache), + .stall_in(decode1_busy), + .stall_out(icache_stall_out), + .wishbone_in(wishbone_insn_in), + .wishbone_out(_01_) + ); + loadstore1_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f loadstore1_0 ( + .clk(clk), + .d_in(dcache_to_loadstore1), + .d_out(loadstore1_to_dcache), + .dc_stall(dcache_stall_out), + .e_out(loadstore1_to_execute1), + .l_in(execute1_to_loadstore1), + .l_out(loadstore1_to_writeback), + .log_out(_10_), + .m_in(mmu_to_loadstore1), + .m_out(loadstore1_to_mmu), + .rst(rst_ls1) + ); + mmu mmu_0 ( + .clk(clk), + .d_in(dcache_to_mmu), + .d_out(mmu_to_dcache), + .i_out(mmu_to_icache), + .l_in(loadstore1_to_mmu), + .l_out(mmu_to_loadstore1), + .rst(core_rst) + ); + register_file register_file_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .clk(clk), + .d_in(decode2_to_register_file), + .d_out(register_file_to_decode2), + .w_in(writeback_to_register_file) + ); + writeback writeback_0 ( + .c_out(writeback_to_cr_file), + .clk(clk), + .complete_out(complete), + .e_in(execute1_to_writeback), + .fp_in(114'h00000000000000000000000000000), + .l_in(loadstore1_to_writeback), + .w_out(writeback_to_register_file) + ); + assign wishbone_insn_out = _01_; + assign wishbone_data_out = _11_; + assign dmi_dout = _13_; + assign dmi_ack = _14_; + assign terminated_out = _15_; +endmodule + +module core_debug_0(clk, rst, dmi_addr, dmi_din, dmi_req, dmi_wr, terminate, core_stopped, nia, msr, dbg_gpr_ack, dbg_gpr_data, log_data, log_read_addr, dmi_dout, dmi_ack, core_stop, core_rst, icache_rst, dbg_gpr_req, dbg_gpr_addr, log_read_data, log_write_addr, terminated_out); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire [63:0] _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire _23_; + wire [31:0] _24_; + wire [6:0] _25_; + wire [31:0] _26_; + wire _27_; + wire _28_; + wire _29_; + wire _30_; + wire _31_; + wire [6:0] _32_; + wire [31:0] _33_; + wire _34_; + wire _35_; + wire _36_; + wire _37_; + wire _38_; + wire [6:0] _39_; + wire [31:0] _40_; + wire _41_; + wire _42_; + wire [1:0] _43_; + wire [1:0] _44_; + wire _45_; + wire _46_; + wire _47_; + wire _48_; + wire _49_; + wire _50_; + wire [1:0] _51_; + wire [29:0] _52_; + wire _53_; + wire _54_; + wire _55_; + wire _56_; + wire _57_; + wire _58_; + wire _59_; + wire _60_; + wire _61_; + wire _62_; + wire _63_; + wire [6:0] _64_; + wire [31:0] _65_; + wire _66_; + wire _67_; + wire _68_; + wire _69_; + input clk; + output core_rst; + output core_stop; + input core_stopped; + input dbg_gpr_ack; + output [6:0] dbg_gpr_addr; + input [63:0] dbg_gpr_data; + output dbg_gpr_req; + output dmi_ack; + input [3:0] dmi_addr; + input [63:0] dmi_din; + output [63:0] dmi_dout; + reg dmi_read_log_data; + reg dmi_read_log_data_1; + input dmi_req; + reg dmi_req_1; + input dmi_wr; + reg do_icreset; + reg do_reset; + reg do_step; + reg [6:0] gspr_index; + output icache_rst; + input [255:0] log_data; + reg [31:0] log_dmi_addr = 32'd0; + input [31:0] log_read_addr; + output [63:0] log_read_data; + output [31:0] log_write_addr; + input [63:0] msr; + input [63:0] nia; + input rst; + reg stopping; + input terminate; + reg terminated; + output terminated_out; + assign _00_ = dmi_addr != 4'h5; + assign _01_ = _00_ ? dmi_req : dbg_gpr_ack; + assign _02_ = dmi_addr == 4'h5; + assign _03_ = _02_ ? dmi_req : 1'h0; + assign _04_ = dmi_addr == 4'h1; + assign _05_ = dmi_addr == 4'h2; + assign _06_ = dmi_addr == 4'h3; + assign _07_ = dmi_addr == 4'h5; + assign _08_ = dmi_addr == 4'h6; + assign _09_ = dmi_addr == 4'h7; + function [63:0] \19764 ; + input [63:0] a; + input [383:0] b; + input [5:0] s; + (* parallel_case *) + casez (s) + 6'b?????1: + \19764 = b[63:0]; + 6'b????1?: + \19764 = b[127:64]; + 6'b???1??: + \19764 = b[191:128]; + 6'b??1???: + \19764 = b[255:192]; + 6'b?1????: + \19764 = b[319:256]; + 6'b1?????: + \19764 = b[383:320]; + default: + \19764 = a; + endcase + endfunction + assign _10_ = \19764 (64'h0000000000000000, { 96'h000000000000000000000001, log_dmi_addr, dbg_gpr_data, msr, nia, 61'h0000000000000000, terminated, core_stopped, stopping }, { _09_, _08_, _07_, _06_, _05_, _04_ }); + assign _11_ = ~ dmi_req_1; + assign _12_ = dmi_req & _11_; + assign _13_ = dmi_addr == 4'h0; + assign _14_ = dmi_din[1] ? 1'h1 : 1'h0; + assign _15_ = dmi_din[1] ? 1'h0 : terminated; + assign _16_ = dmi_din[0] ? 1'h1 : stopping; + assign _17_ = dmi_din[3] ? 1'h1 : 1'h0; + assign _18_ = dmi_din[3] ? 1'h0 : _15_; + assign _19_ = dmi_din[2] ? 1'h1 : 1'h0; + assign _20_ = dmi_din[4] ? 1'h0 : _16_; + assign _21_ = dmi_din[4] ? 1'h0 : _18_; + assign _22_ = dmi_addr == 4'h4; + assign _23_ = dmi_addr == 4'h6; + assign _24_ = _23_ ? dmi_din[31:0] : log_dmi_addr; + assign _25_ = _22_ ? dmi_din[6:0] : gspr_index; + assign _26_ = _22_ ? log_dmi_addr : _24_; + assign _27_ = _45_ ? _20_ : stopping; + assign _28_ = _13_ ? _17_ : 1'h0; + assign _29_ = _13_ ? _14_ : 1'h0; + assign _30_ = _13_ ? _19_ : 1'h0; + assign _31_ = _49_ ? _21_ : terminated; + assign _32_ = _13_ ? gspr_index : _25_; + assign _33_ = _13_ ? log_dmi_addr : _26_; + assign _34_ = dmi_wr & _13_; + assign _35_ = dmi_wr ? _28_ : 1'h0; + assign _36_ = dmi_wr ? _29_ : 1'h0; + assign _37_ = dmi_wr ? _30_ : 1'h0; + assign _38_ = dmi_wr & _13_; + assign _39_ = _50_ ? _32_ : gspr_index; + assign _40_ = dmi_wr ? _33_ : log_dmi_addr; + assign _41_ = ~ dmi_read_log_data; + assign _42_ = _41_ & dmi_read_log_data_1; + assign _43_ = log_dmi_addr[1:0] + 2'h1; + assign _44_ = _42_ ? _43_ : log_dmi_addr[1:0]; + assign _45_ = _12_ & _34_; + assign _46_ = _12_ ? _35_ : 1'h0; + assign _47_ = _12_ ? _36_ : 1'h0; + assign _48_ = _12_ ? _37_ : 1'h0; + assign _49_ = _12_ & _38_; + assign _50_ = _12_ & dmi_wr; + assign _51_ = _12_ ? _40_[1:0] : _44_; + assign _52_ = _12_ ? _40_[31:2] : log_dmi_addr[31:2]; + assign _53_ = dmi_addr == 4'h7; + assign _54_ = dmi_req & _53_; + assign _55_ = _54_ ? 1'h1 : 1'h0; + assign _56_ = terminate ? 1'h1 : _27_; + assign _57_ = terminate ? 1'h1 : _31_; + assign _58_ = rst ? dmi_req_1 : dmi_req; + assign _59_ = rst ? 1'h0 : _56_; + assign _60_ = rst ? 1'h0 : _46_; + assign _61_ = rst ? 1'h0 : _47_; + assign _62_ = rst ? 1'h0 : _48_; + assign _63_ = rst ? 1'h0 : _57_; + assign _64_ = rst ? gspr_index : _39_; + assign _65_ = rst ? log_dmi_addr : { _52_, _51_ }; + assign _66_ = rst ? dmi_read_log_data : _55_; + assign _67_ = rst ? dmi_read_log_data_1 : dmi_read_log_data; + always @(posedge clk) + dmi_req_1 <= _58_; + always @(posedge clk) + stopping <= _59_; + always @(posedge clk) + do_step <= _60_; + always @(posedge clk) + do_reset <= _61_; + always @(posedge clk) + do_icreset <= _62_; + always @(posedge clk) + terminated <= _63_; + always @(posedge clk) + gspr_index <= _64_; + always @(posedge clk) + log_dmi_addr <= _65_; + always @(posedge clk) + dmi_read_log_data <= _66_; + always @(posedge clk) + dmi_read_log_data_1 <= _67_; + assign _68_ = ~ do_step; + assign _69_ = stopping & _68_; + assign dmi_dout = _10_; + assign dmi_ack = _01_; + assign core_stop = _69_; + assign core_rst = do_reset; + assign icache_rst = do_icreset; + assign dbg_gpr_req = _03_; + assign dbg_gpr_addr = gspr_index; + assign log_read_data = 64'h0000000000000000; + assign log_write_addr = 32'd1; + assign terminated_out = terminated; +endmodule + +module cr_file_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f(clk, d_in, w_in, sim_dump, d_out, log_out); + wire [3:0] _0_; + wire [3:0] _1_; + wire [3:0] _2_; + wire [3:0] _3_; + wire [3:0] _4_; + wire [3:0] _5_; + wire [3:0] _6_; + wire [3:0] _7_; + wire [31:0] _8_; + wire [4:0] _9_; + input clk; + reg [31:0] crs = 32'd0; + input d_in; + output [36:0] d_out; + output [12:0] log_out; + input sim_dump; + input [46:0] w_in; + reg [4:0] xerc = 5'h00; + wire [4:0] xerc_updated; + assign _0_ = w_in[1] ? w_in[12:9] : crs[3:0]; + assign _1_ = w_in[2] ? w_in[16:13] : crs[7:4]; + assign _2_ = w_in[3] ? w_in[20:17] : crs[11:8]; + assign _3_ = w_in[4] ? w_in[24:21] : crs[15:12]; + assign _4_ = w_in[5] ? w_in[28:25] : crs[19:16]; + assign _5_ = w_in[6] ? w_in[32:29] : crs[23:20]; + assign _6_ = w_in[7] ? w_in[36:33] : crs[27:24]; + assign _7_ = w_in[8] ? w_in[40:37] : crs[31:28]; + assign xerc_updated = w_in[41] ? w_in[46:42] : xerc; + assign _8_ = w_in[0] ? { _7_, _6_, _5_, _4_, _3_, _2_, _1_, _0_ } : crs; + always @(posedge clk) + crs <= _8_; + assign _9_ = w_in[41] ? xerc_updated : xerc; + always @(posedge clk) + xerc <= _9_; + assign d_out = { xerc_updated, _7_, _6_, _5_, _4_, _3_, _2_, _1_, _0_ }; + assign log_out = 13'hzzzz; +endmodule + +module cr_hazard_1(clk, busy_in, deferred, complete_in, flush_in, issuing, cr_read_in, cr_write_in, bypassable, stall_out, use_bypass); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + input busy_in; + input bypassable; + input clk; + input complete_in; + input cr_read_in; + input cr_write_in; + input deferred; + input flush_in; + input issuing; + reg [3:0] r = 4'h0; + output stall_out; + output use_bypass; + always @(posedge clk) + r <= { _20_, _18_, _19_, _16_ }; + assign _00_ = complete_in ? 1'h0 : r[0]; + assign _01_ = r[3] ? 1'h0 : 1'h1; + assign _02_ = r[3] ? 1'h1 : 1'h0; + assign _03_ = r[2] ? _01_ : 1'h0; + assign _04_ = r[2] ? _02_ : 1'h0; + assign _05_ = r[1] ? _03_ : 1'h1; + assign _06_ = _08_ ? 1'h1 : _04_; + assign _07_ = _00_ ? _05_ : _03_; + assign _08_ = _00_ & r[1]; + assign _09_ = cr_read_in ? _07_ : 1'h0; + assign _10_ = cr_read_in ? _06_ : 1'h0; + assign _11_ = ~ busy_in; + assign _12_ = ~ deferred; + assign _13_ = _12_ & issuing; + assign _14_ = _11_ ? 1'h0 : r[2]; + assign _15_ = _11_ ? r[2] : _00_; + assign _16_ = flush_in ? 1'h0 : _15_; + assign _17_ = _13_ ? cr_write_in : _14_; + assign _18_ = flush_in ? 1'h0 : _17_; + assign _19_ = _11_ ? r[3] : r[1]; + assign _20_ = _13_ ? bypassable : r[3]; + assign stall_out = _09_; + assign use_bypass = _10_; +endmodule + + +module decode1_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f(clk, rst, stall_in, flush_in, f_in, busy_out, flush_out, f_out, d_out, log_out); + wire _000_; + wire [153:0] _001_; + wire _002_; + wire [43:0] _003_; + wire _004_; + wire _005_; + wire _006_; + wire _007_; + wire _008_; + wire [153:0] _009_; + wire [43:0] _010_; + wire [153:0] _011_; + wire _012_; + wire [152:0] _013_; + wire [43:0] _014_; + wire [43:0] _015_; + wire _016_; + wire [152:0] _017_; + wire _018_; + wire [152:0] _019_; + wire [43:0] _020_; + wire [43:0] _021_; + wire [153:0] _022_; + wire [153:0] _023_; + wire [43:0] _024_; + wire [43:0] _025_; + wire [5:0] _026_; + wire [10:0] _027_; + wire _028_; + wire [5:0] _029_; + wire _030_; + wire [9:0] _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire [6:0] _049_; + wire [4:0] _050_; + wire [4:0] _051_; + wire [6:0] _052_; + wire [9:0] _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire [1:0] _063_; + wire _064_; + wire [1:0] _065_; + wire [1:0] _066_; + wire [1:0] _067_; + wire [1:0] _068_; + wire _069_; + wire _070_; + wire [6:0] _071_; + wire _072_; + wire _073_; + wire [9:0] _074_; + wire _075_; + wire [2:0] _076_; + wire _077_; + wire _078_; + wire [6:0] _079_; + wire _080_; + wire _081_; + wire [6:0] _082_; + wire [6:0] _083_; + wire [13:0] _084_; + wire _085_; + wire [3:0] _086_; + wire _087_; + wire [31:0] _088_; + wire _089_; + wire [41:0] _090_; + wire _091_; + wire [1:0] _092_; + wire _093_; + wire _094_; + wire [1:0] _095_; + wire _096_; + wire _097_; + wire [6:0] _098_; + wire [6:0] _099_; + wire [40:0] _100_; + wire _101_; + wire _102_; + wire [1:0] _103_; + wire [38:0] _104_; + wire [1:0] _105_; + wire [23:0] _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire [41:0] _111_; + wire [61:0] _112_; + wire [61:0] _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire [1:0] _119_; + wire [1:0] _120_; + wire _121_; + wire _122_; + wire [37:0] _123_; + wire [2623:0] _124_; + wire [40:0] _125_; + wire [2047:0] _126_; + wire _127_; + wire [2623:0] _128_; + wire [40:0] _129_; + wire [41983:0] _130_; + wire [40:0] _131_; + wire [1023:0] _132_; + wire _133_; + wire [327:0] _134_; + wire [40:0] _135_; + wire [655:0] _136_; + wire [40:0] _137_; + wire [163:0] _138_; + wire [40:0] _139_; + wire [163:0] _140_; + wire [40:0] _141_; + output busy_out; + input clk; + output [153:0] d_out; + input [98:0] f_in; + output [64:0] f_out; + input flush_in; + output flush_out; + output [12:0] log_out; + reg [153:0] r; + reg [43:0] ri; + input rst; + reg [153:0] s; + reg [43:0] si; + input stall_in; + reg [40:0] \$mem$\8810 [63:0]; + reg [0:0] \$mem$\8812 [2047:0]; + reg [40:0] \$mem$\8814 [63:0]; + reg [40:0] \$mem$\8816 [1023:0]; + reg [0:0] \$mem$\8818 [1023:0]; + reg [40:0] \$mem$\8820 [7:0]; + reg [40:0] \$mem$\8822 [15:0]; + reg [40:0] \$mem$\8824 [3:0]; + reg [40:0] \$mem$\8826 [3:0]; + reg [40:0] \8810 [63:0]; + initial begin + \8810 [0] = 41'h00000000000; + \8810 [1] = 41'h00000000000; + \8810 [2] = 41'h00000000000; + \8810 [3] = 41'h00000000000; + \8810 [4] = 41'h00000000000; + \8810 [5] = 41'h00000000000; + \8810 [6] = 41'h00000000000; + \8810 [7] = 41'h00000000000; + \8810 [8] = 41'h00240021a8a; + \8810 [9] = 41'h00040021a8a; + \8810 [10] = 41'h00a30021a8a; + \8810 [11] = 41'h00830021a8a; + \8810 [12] = 41'h00240101a86; + \8810 [13] = 41'h00040101a86; + \8810 [14] = 41'h00a30101a86; + \8810 [15] = 41'h00830101a86; + \8810 [16] = 41'h00000000000; + \8810 [17] = 41'h00000000000; + \8810 [18] = 41'h00220009a82; + \8810 [19] = 41'h00020009a82; + \8810 [20] = 41'h00320041a7e; + \8810 [21] = 41'h00120041a7e; + \8810 [22] = 41'h00220041a7e; + \8810 [23] = 41'h00020041a7e; + \8810 [24] = 41'h00210009a82; + \8810 [25] = 41'h00010009a82; + \8810 [26] = 41'h00230009a82; + \8810 [27] = 41'h00030009a82; + \8810 [28] = 41'h00210041a7e; + \8810 [29] = 41'h00010041a7e; + \8810 [30] = 41'h00230041a7e; + \8810 [31] = 41'h00030041a7e; + \8810 [32] = 41'h00000000000; + \8810 [33] = 41'h00000000000; + \8810 [34] = 41'h0200008a80d; + \8810 [35] = 41'h0200008900d; + \8810 [36] = 41'h0000008a8f1; + \8810 [37] = 41'h000000890f1; + \8810 [38] = 41'h0000008a8b9; + \8810 [39] = 41'h000000890b9; + \8810 [40] = 41'h048000888c9; + \8810 [41] = 41'h00000000000; + \8810 [42] = 41'h0480008e0c9; + \8810 [43] = 41'h0480008e1c9; + \8810 [44] = 41'h00000000000; + \8810 [45] = 41'h08000003015; + \8810 [46] = 41'h000000000d5; + \8810 [47] = 41'h080002c3b19; + \8810 [48] = 41'h00000042209; + \8810 [49] = 41'h00000041a09; + \8810 [50] = 41'h02008041909; + \8810 [51] = 41'h00008041909; + \8810 [52] = 41'h01006c01925; + \8810 [53] = 41'h00006c01125; + \8810 [54] = 41'h00000000000; + \8810 [55] = 41'h0000e841909; + \8810 [56] = 41'h010000419ad; + \8810 [57] = 41'h00000000000; + \8810 [58] = 41'h00000000000; + \8810 [59] = 41'h00000000000; + \8810 [60] = 41'h108000019ed; + \8810 [61] = 41'h100000019ed; + \8810 [62] = 41'h00000000000; + \8810 [63] = 41'h10000000011; + end + assign _125_ = \8810 [_026_]; + reg [0:0] \8812 [2047:0]; + initial begin + \8812 [0] = 1'h0; + \8812 [1] = 1'h0; + \8812 [2] = 1'h0; + \8812 [3] = 1'h0; + \8812 [4] = 1'h0; + \8812 [5] = 1'h0; + \8812 [6] = 1'h0; + \8812 [7] = 1'h0; + \8812 [8] = 1'h0; + \8812 [9] = 1'h0; + \8812 [10] = 1'h0; + \8812 [11] = 1'h0; + \8812 [12] = 1'h0; + \8812 [13] = 1'h0; + \8812 [14] = 1'h0; + \8812 [15] = 1'h0; + \8812 [16] = 1'h0; + \8812 [17] = 1'h0; + \8812 [18] = 1'h0; + \8812 [19] = 1'h0; + \8812 [20] = 1'h0; + \8812 [21] = 1'h0; + \8812 [22] = 1'h0; + \8812 [23] = 1'h0; + \8812 [24] = 1'h0; + \8812 [25] = 1'h0; + \8812 [26] = 1'h0; + \8812 [27] = 1'h0; + \8812 [28] = 1'h0; + \8812 [29] = 1'h0; + \8812 [30] = 1'h0; + \8812 [31] = 1'h0; + \8812 [32] = 1'h0; + \8812 [33] = 1'h0; + \8812 [34] = 1'h0; + \8812 [35] = 1'h0; + \8812 [36] = 1'h0; + \8812 [37] = 1'h0; + \8812 [38] = 1'h0; + \8812 [39] = 1'h0; + \8812 [40] = 1'h0; + \8812 [41] = 1'h0; + \8812 [42] = 1'h0; + \8812 [43] = 1'h0; + \8812 [44] = 1'h0; + \8812 [45] = 1'h0; + \8812 [46] = 1'h0; + \8812 [47] = 1'h0; + \8812 [48] = 1'h0; + \8812 [49] = 1'h0; + \8812 [50] = 1'h0; + \8812 [51] = 1'h0; + \8812 [52] = 1'h0; + \8812 [53] = 1'h0; + \8812 [54] = 1'h0; + \8812 [55] = 1'h0; + \8812 [56] = 1'h0; + \8812 [57] = 1'h0; + \8812 [58] = 1'h0; + \8812 [59] = 1'h0; + \8812 [60] = 1'h0; + \8812 [61] = 1'h0; + \8812 [62] = 1'h0; + \8812 [63] = 1'h0; + \8812 [64] = 1'h0; + \8812 [65] = 1'h0; + \8812 [66] = 1'h0; + \8812 [67] = 1'h0; + \8812 [68] = 1'h0; + \8812 [69] = 1'h0; + \8812 [70] = 1'h0; + \8812 [71] = 1'h0; + \8812 [72] = 1'h0; + \8812 [73] = 1'h0; + \8812 [74] = 1'h0; + \8812 [75] = 1'h0; + \8812 [76] = 1'h0; + \8812 [77] = 1'h0; + \8812 [78] = 1'h0; + \8812 [79] = 1'h0; + \8812 [80] = 1'h0; + \8812 [81] = 1'h0; + \8812 [82] = 1'h0; + \8812 [83] = 1'h0; + \8812 [84] = 1'h0; + \8812 [85] = 1'h0; + \8812 [86] = 1'h0; + \8812 [87] = 1'h0; + \8812 [88] = 1'h0; + \8812 [89] = 1'h0; + \8812 [90] = 1'h0; + \8812 [91] = 1'h0; + \8812 [92] = 1'h0; + \8812 [93] = 1'h0; + \8812 [94] = 1'h0; + \8812 [95] = 1'h0; + \8812 [96] = 1'h0; + \8812 [97] = 1'h0; + \8812 [98] = 1'h0; + \8812 [99] = 1'h0; + \8812 [100] = 1'h0; + \8812 [101] = 1'h0; + \8812 [102] = 1'h0; + \8812 [103] = 1'h0; + \8812 [104] = 1'h0; + \8812 [105] = 1'h0; + \8812 [106] = 1'h0; + \8812 [107] = 1'h0; + \8812 [108] = 1'h0; + \8812 [109] = 1'h0; + \8812 [110] = 1'h0; + \8812 [111] = 1'h0; + \8812 [112] = 1'h0; + \8812 [113] = 1'h0; + \8812 [114] = 1'h0; + \8812 [115] = 1'h0; + \8812 [116] = 1'h0; + \8812 [117] = 1'h0; + \8812 [118] = 1'h0; + \8812 [119] = 1'h0; + \8812 [120] = 1'h0; + \8812 [121] = 1'h0; + \8812 [122] = 1'h0; + \8812 [123] = 1'h0; + \8812 [124] = 1'h0; + \8812 [125] = 1'h0; + \8812 [126] = 1'h0; + \8812 [127] = 1'h0; + \8812 [128] = 1'h0; + \8812 [129] = 1'h0; + \8812 [130] = 1'h0; + \8812 [131] = 1'h0; + \8812 [132] = 1'h0; + \8812 [133] = 1'h0; + \8812 [134] = 1'h0; + \8812 [135] = 1'h0; + \8812 [136] = 1'h0; + \8812 [137] = 1'h0; + \8812 [138] = 1'h0; + \8812 [139] = 1'h0; + \8812 [140] = 1'h0; + \8812 [141] = 1'h0; + \8812 [142] = 1'h0; + \8812 [143] = 1'h0; + \8812 [144] = 1'h0; + \8812 [145] = 1'h0; + \8812 [146] = 1'h0; + \8812 [147] = 1'h0; + \8812 [148] = 1'h0; + \8812 [149] = 1'h0; + \8812 [150] = 1'h0; + \8812 [151] = 1'h0; + \8812 [152] = 1'h0; + \8812 [153] = 1'h0; + \8812 [154] = 1'h0; + \8812 [155] = 1'h0; + \8812 [156] = 1'h0; + \8812 [157] = 1'h0; + \8812 [158] = 1'h0; + \8812 [159] = 1'h0; + \8812 [160] = 1'h0; + \8812 [161] = 1'h0; + \8812 [162] = 1'h0; + \8812 [163] = 1'h0; + \8812 [164] = 1'h0; + \8812 [165] = 1'h0; + \8812 [166] = 1'h0; + \8812 [167] = 1'h0; + \8812 [168] = 1'h0; + \8812 [169] = 1'h0; + \8812 [170] = 1'h0; + \8812 [171] = 1'h0; + \8812 [172] = 1'h0; + \8812 [173] = 1'h0; + \8812 [174] = 1'h0; + \8812 [175] = 1'h0; + \8812 [176] = 1'h0; + \8812 [177] = 1'h0; + \8812 [178] = 1'h0; + \8812 [179] = 1'h0; + \8812 [180] = 1'h0; + \8812 [181] = 1'h0; + \8812 [182] = 1'h0; + \8812 [183] = 1'h0; + \8812 [184] = 1'h0; + \8812 [185] = 1'h0; + \8812 [186] = 1'h0; + \8812 [187] = 1'h0; + \8812 [188] = 1'h0; + \8812 [189] = 1'h0; + \8812 [190] = 1'h0; + \8812 [191] = 1'h0; + \8812 [192] = 1'h0; + \8812 [193] = 1'h0; + \8812 [194] = 1'h0; + \8812 [195] = 1'h0; + \8812 [196] = 1'h0; + \8812 [197] = 1'h0; + \8812 [198] = 1'h0; + \8812 [199] = 1'h0; + \8812 [200] = 1'h0; + \8812 [201] = 1'h0; + \8812 [202] = 1'h0; + \8812 [203] = 1'h0; + \8812 [204] = 1'h0; + \8812 [205] = 1'h0; + \8812 [206] = 1'h0; + \8812 [207] = 1'h0; + \8812 [208] = 1'h0; + \8812 [209] = 1'h0; + \8812 [210] = 1'h0; + \8812 [211] = 1'h0; + \8812 [212] = 1'h0; + \8812 [213] = 1'h0; + \8812 [214] = 1'h0; + \8812 [215] = 1'h0; + \8812 [216] = 1'h0; + \8812 [217] = 1'h0; + \8812 [218] = 1'h0; + \8812 [219] = 1'h0; + \8812 [220] = 1'h0; + \8812 [221] = 1'h0; + \8812 [222] = 1'h0; + \8812 [223] = 1'h0; + \8812 [224] = 1'h0; + \8812 [225] = 1'h0; + \8812 [226] = 1'h0; + \8812 [227] = 1'h0; + \8812 [228] = 1'h0; + \8812 [229] = 1'h0; + \8812 [230] = 1'h0; + \8812 [231] = 1'h0; + \8812 [232] = 1'h0; + \8812 [233] = 1'h0; + \8812 [234] = 1'h0; + \8812 [235] = 1'h0; + \8812 [236] = 1'h0; + \8812 [237] = 1'h0; + \8812 [238] = 1'h0; + \8812 [239] = 1'h0; + \8812 [240] = 1'h0; + \8812 [241] = 1'h0; + \8812 [242] = 1'h0; + \8812 [243] = 1'h0; + \8812 [244] = 1'h0; + \8812 [245] = 1'h0; + \8812 [246] = 1'h0; + \8812 [247] = 1'h0; + \8812 [248] = 1'h0; + \8812 [249] = 1'h0; + \8812 [250] = 1'h0; + \8812 [251] = 1'h0; + \8812 [252] = 1'h0; + \8812 [253] = 1'h0; + \8812 [254] = 1'h0; + \8812 [255] = 1'h0; + \8812 [256] = 1'h0; + \8812 [257] = 1'h0; + \8812 [258] = 1'h0; + \8812 [259] = 1'h0; + \8812 [260] = 1'h0; + \8812 [261] = 1'h0; + \8812 [262] = 1'h0; + \8812 [263] = 1'h0; + \8812 [264] = 1'h0; + \8812 [265] = 1'h0; + \8812 [266] = 1'h0; + \8812 [267] = 1'h0; + \8812 [268] = 1'h0; + \8812 [269] = 1'h0; + \8812 [270] = 1'h0; + \8812 [271] = 1'h0; + \8812 [272] = 1'h0; + \8812 [273] = 1'h0; + \8812 [274] = 1'h0; + \8812 [275] = 1'h0; + \8812 [276] = 1'h0; + \8812 [277] = 1'h0; + \8812 [278] = 1'h0; + \8812 [279] = 1'h0; + \8812 [280] = 1'h0; + \8812 [281] = 1'h0; + \8812 [282] = 1'h0; + \8812 [283] = 1'h0; + \8812 [284] = 1'h0; + \8812 [285] = 1'h0; + \8812 [286] = 1'h0; + \8812 [287] = 1'h0; + \8812 [288] = 1'h0; + \8812 [289] = 1'h0; + \8812 [290] = 1'h0; + \8812 [291] = 1'h0; + \8812 [292] = 1'h0; + \8812 [293] = 1'h0; + \8812 [294] = 1'h0; + \8812 [295] = 1'h0; + \8812 [296] = 1'h0; + \8812 [297] = 1'h0; + \8812 [298] = 1'h0; + \8812 [299] = 1'h0; + \8812 [300] = 1'h0; + \8812 [301] = 1'h0; + \8812 [302] = 1'h0; + \8812 [303] = 1'h0; + \8812 [304] = 1'h0; + \8812 [305] = 1'h0; + \8812 [306] = 1'h0; + \8812 [307] = 1'h0; + \8812 [308] = 1'h0; + \8812 [309] = 1'h0; + \8812 [310] = 1'h0; + \8812 [311] = 1'h0; + \8812 [312] = 1'h0; + \8812 [313] = 1'h0; + \8812 [314] = 1'h0; + \8812 [315] = 1'h0; + \8812 [316] = 1'h0; + \8812 [317] = 1'h0; + \8812 [318] = 1'h0; + \8812 [319] = 1'h0; + \8812 [320] = 1'h0; + \8812 [321] = 1'h0; + \8812 [322] = 1'h0; + \8812 [323] = 1'h0; + \8812 [324] = 1'h0; + \8812 [325] = 1'h0; + \8812 [326] = 1'h0; + \8812 [327] = 1'h0; + \8812 [328] = 1'h0; + \8812 [329] = 1'h0; + \8812 [330] = 1'h0; + \8812 [331] = 1'h0; + \8812 [332] = 1'h0; + \8812 [333] = 1'h0; + \8812 [334] = 1'h0; + \8812 [335] = 1'h0; + \8812 [336] = 1'h0; + \8812 [337] = 1'h0; + \8812 [338] = 1'h0; + \8812 [339] = 1'h0; + \8812 [340] = 1'h0; + \8812 [341] = 1'h0; + \8812 [342] = 1'h0; + \8812 [343] = 1'h0; + \8812 [344] = 1'h0; + \8812 [345] = 1'h0; + \8812 [346] = 1'h0; + \8812 [347] = 1'h0; + \8812 [348] = 1'h0; + \8812 [349] = 1'h0; + \8812 [350] = 1'h0; + \8812 [351] = 1'h0; + \8812 [352] = 1'h0; + \8812 [353] = 1'h0; + \8812 [354] = 1'h0; + \8812 [355] = 1'h0; + \8812 [356] = 1'h0; + \8812 [357] = 1'h0; + \8812 [358] = 1'h0; + \8812 [359] = 1'h0; + \8812 [360] = 1'h0; + \8812 [361] = 1'h0; + \8812 [362] = 1'h0; + \8812 [363] = 1'h0; + \8812 [364] = 1'h0; + \8812 [365] = 1'h0; + \8812 [366] = 1'h0; + \8812 [367] = 1'h0; + \8812 [368] = 1'h0; + \8812 [369] = 1'h0; + \8812 [370] = 1'h0; + \8812 [371] = 1'h0; + \8812 [372] = 1'h0; + \8812 [373] = 1'h0; + \8812 [374] = 1'h0; + \8812 [375] = 1'h0; + \8812 [376] = 1'h0; + \8812 [377] = 1'h0; + \8812 [378] = 1'h0; + \8812 [379] = 1'h0; + \8812 [380] = 1'h0; + \8812 [381] = 1'h0; + \8812 [382] = 1'h0; + \8812 [383] = 1'h0; + \8812 [384] = 1'h1; + \8812 [385] = 1'h1; + \8812 [386] = 1'h1; + \8812 [387] = 1'h1; + \8812 [388] = 1'h1; + \8812 [389] = 1'h1; + \8812 [390] = 1'h1; + \8812 [391] = 1'h1; + \8812 [392] = 1'h1; + \8812 [393] = 1'h1; + \8812 [394] = 1'h1; + \8812 [395] = 1'h1; + \8812 [396] = 1'h1; + \8812 [397] = 1'h1; + \8812 [398] = 1'h1; + \8812 [399] = 1'h1; + \8812 [400] = 1'h1; + \8812 [401] = 1'h1; + \8812 [402] = 1'h1; + \8812 [403] = 1'h1; + \8812 [404] = 1'h1; + \8812 [405] = 1'h1; + \8812 [406] = 1'h1; + \8812 [407] = 1'h1; + \8812 [408] = 1'h1; + \8812 [409] = 1'h1; + \8812 [410] = 1'h1; + \8812 [411] = 1'h1; + \8812 [412] = 1'h1; + \8812 [413] = 1'h1; + \8812 [414] = 1'h1; + \8812 [415] = 1'h1; + \8812 [416] = 1'h0; + \8812 [417] = 1'h0; + \8812 [418] = 1'h0; + \8812 [419] = 1'h0; + \8812 [420] = 1'h0; + \8812 [421] = 1'h0; + \8812 [422] = 1'h0; + \8812 [423] = 1'h0; + \8812 [424] = 1'h0; + \8812 [425] = 1'h0; + \8812 [426] = 1'h0; + \8812 [427] = 1'h0; + \8812 [428] = 1'h0; + \8812 [429] = 1'h0; + \8812 [430] = 1'h0; + \8812 [431] = 1'h0; + \8812 [432] = 1'h0; + \8812 [433] = 1'h0; + \8812 [434] = 1'h0; + \8812 [435] = 1'h0; + \8812 [436] = 1'h0; + \8812 [437] = 1'h0; + \8812 [438] = 1'h0; + \8812 [439] = 1'h0; + \8812 [440] = 1'h0; + \8812 [441] = 1'h0; + \8812 [442] = 1'h0; + \8812 [443] = 1'h0; + \8812 [444] = 1'h0; + \8812 [445] = 1'h0; + \8812 [446] = 1'h0; + \8812 [447] = 1'h0; + \8812 [448] = 1'h1; + \8812 [449] = 1'h1; + \8812 [450] = 1'h1; + \8812 [451] = 1'h1; + \8812 [452] = 1'h1; + \8812 [453] = 1'h1; + \8812 [454] = 1'h1; + \8812 [455] = 1'h1; + \8812 [456] = 1'h1; + \8812 [457] = 1'h1; + \8812 [458] = 1'h1; + \8812 [459] = 1'h1; + \8812 [460] = 1'h1; + \8812 [461] = 1'h1; + \8812 [462] = 1'h1; + \8812 [463] = 1'h1; + \8812 [464] = 1'h1; + \8812 [465] = 1'h1; + \8812 [466] = 1'h1; + \8812 [467] = 1'h1; + \8812 [468] = 1'h1; + \8812 [469] = 1'h1; + \8812 [470] = 1'h1; + \8812 [471] = 1'h1; + \8812 [472] = 1'h1; + \8812 [473] = 1'h1; + \8812 [474] = 1'h1; + \8812 [475] = 1'h1; + \8812 [476] = 1'h1; + \8812 [477] = 1'h1; + \8812 [478] = 1'h1; + \8812 [479] = 1'h1; + \8812 [480] = 1'h1; + \8812 [481] = 1'h1; + \8812 [482] = 1'h1; + \8812 [483] = 1'h1; + \8812 [484] = 1'h1; + \8812 [485] = 1'h1; + \8812 [486] = 1'h1; + \8812 [487] = 1'h1; + \8812 [488] = 1'h1; + \8812 [489] = 1'h1; + \8812 [490] = 1'h1; + \8812 [491] = 1'h1; + \8812 [492] = 1'h1; + \8812 [493] = 1'h1; + \8812 [494] = 1'h1; + \8812 [495] = 1'h1; + \8812 [496] = 1'h1; + \8812 [497] = 1'h1; + \8812 [498] = 1'h1; + \8812 [499] = 1'h1; + \8812 [500] = 1'h1; + \8812 [501] = 1'h1; + \8812 [502] = 1'h1; + \8812 [503] = 1'h1; + \8812 [504] = 1'h1; + \8812 [505] = 1'h1; + \8812 [506] = 1'h1; + \8812 [507] = 1'h1; + \8812 [508] = 1'h1; + \8812 [509] = 1'h1; + \8812 [510] = 1'h1; + \8812 [511] = 1'h1; + \8812 [512] = 1'h0; + \8812 [513] = 1'h0; + \8812 [514] = 1'h0; + \8812 [515] = 1'h0; + \8812 [516] = 1'h0; + \8812 [517] = 1'h0; + \8812 [518] = 1'h0; + \8812 [519] = 1'h0; + \8812 [520] = 1'h0; + \8812 [521] = 1'h0; + \8812 [522] = 1'h0; + \8812 [523] = 1'h0; + \8812 [524] = 1'h0; + \8812 [525] = 1'h0; + \8812 [526] = 1'h0; + \8812 [527] = 1'h0; + \8812 [528] = 1'h0; + \8812 [529] = 1'h0; + \8812 [530] = 1'h0; + \8812 [531] = 1'h0; + \8812 [532] = 1'h0; + \8812 [533] = 1'h0; + \8812 [534] = 1'h0; + \8812 [535] = 1'h0; + \8812 [536] = 1'h0; + \8812 [537] = 1'h0; + \8812 [538] = 1'h0; + \8812 [539] = 1'h0; + \8812 [540] = 1'h0; + \8812 [541] = 1'h0; + \8812 [542] = 1'h0; + \8812 [543] = 1'h0; + \8812 [544] = 1'h0; + \8812 [545] = 1'h0; + \8812 [546] = 1'h0; + \8812 [547] = 1'h0; + \8812 [548] = 1'h0; + \8812 [549] = 1'h0; + \8812 [550] = 1'h0; + \8812 [551] = 1'h0; + \8812 [552] = 1'h0; + \8812 [553] = 1'h0; + \8812 [554] = 1'h0; + \8812 [555] = 1'h0; + \8812 [556] = 1'h0; + \8812 [557] = 1'h0; + \8812 [558] = 1'h0; + \8812 [559] = 1'h0; + \8812 [560] = 1'h0; + \8812 [561] = 1'h0; + \8812 [562] = 1'h0; + \8812 [563] = 1'h0; + \8812 [564] = 1'h0; + \8812 [565] = 1'h0; + \8812 [566] = 1'h0; + \8812 [567] = 1'h0; + \8812 [568] = 1'h0; + \8812 [569] = 1'h0; + \8812 [570] = 1'h0; + \8812 [571] = 1'h0; + \8812 [572] = 1'h0; + \8812 [573] = 1'h0; + \8812 [574] = 1'h0; + \8812 [575] = 1'h0; + \8812 [576] = 1'h0; + \8812 [577] = 1'h0; + \8812 [578] = 1'h0; + \8812 [579] = 1'h0; + \8812 [580] = 1'h0; + \8812 [581] = 1'h0; + \8812 [582] = 1'h0; + \8812 [583] = 1'h0; + \8812 [584] = 1'h0; + \8812 [585] = 1'h0; + \8812 [586] = 1'h0; + \8812 [587] = 1'h0; + \8812 [588] = 1'h0; + \8812 [589] = 1'h0; + \8812 [590] = 1'h0; + \8812 [591] = 1'h0; + \8812 [592] = 1'h0; + \8812 [593] = 1'h0; + \8812 [594] = 1'h0; + \8812 [595] = 1'h0; + \8812 [596] = 1'h0; + \8812 [597] = 1'h0; + \8812 [598] = 1'h0; + \8812 [599] = 1'h0; + \8812 [600] = 1'h0; + \8812 [601] = 1'h0; + \8812 [602] = 1'h0; + \8812 [603] = 1'h0; + \8812 [604] = 1'h0; + \8812 [605] = 1'h0; + \8812 [606] = 1'h0; + \8812 [607] = 1'h0; + \8812 [608] = 1'h0; + \8812 [609] = 1'h0; + \8812 [610] = 1'h0; + \8812 [611] = 1'h0; + \8812 [612] = 1'h0; + \8812 [613] = 1'h0; + \8812 [614] = 1'h0; + \8812 [615] = 1'h0; + \8812 [616] = 1'h0; + \8812 [617] = 1'h0; + \8812 [618] = 1'h0; + \8812 [619] = 1'h0; + \8812 [620] = 1'h0; + \8812 [621] = 1'h0; + \8812 [622] = 1'h0; + \8812 [623] = 1'h0; + \8812 [624] = 1'h0; + \8812 [625] = 1'h0; + \8812 [626] = 1'h0; + \8812 [627] = 1'h0; + \8812 [628] = 1'h0; + \8812 [629] = 1'h0; + \8812 [630] = 1'h0; + \8812 [631] = 1'h0; + \8812 [632] = 1'h0; + \8812 [633] = 1'h0; + \8812 [634] = 1'h0; + \8812 [635] = 1'h0; + \8812 [636] = 1'h0; + \8812 [637] = 1'h0; + \8812 [638] = 1'h0; + \8812 [639] = 1'h0; + \8812 [640] = 1'h0; + \8812 [641] = 1'h0; + \8812 [642] = 1'h0; + \8812 [643] = 1'h0; + \8812 [644] = 1'h0; + \8812 [645] = 1'h0; + \8812 [646] = 1'h0; + \8812 [647] = 1'h0; + \8812 [648] = 1'h0; + \8812 [649] = 1'h0; + \8812 [650] = 1'h0; + \8812 [651] = 1'h0; + \8812 [652] = 1'h0; + \8812 [653] = 1'h0; + \8812 [654] = 1'h0; + \8812 [655] = 1'h0; + \8812 [656] = 1'h0; + \8812 [657] = 1'h0; + \8812 [658] = 1'h0; + \8812 [659] = 1'h0; + \8812 [660] = 1'h0; + \8812 [661] = 1'h0; + \8812 [662] = 1'h0; + \8812 [663] = 1'h0; + \8812 [664] = 1'h0; + \8812 [665] = 1'h0; + \8812 [666] = 1'h0; + \8812 [667] = 1'h0; + \8812 [668] = 1'h0; + \8812 [669] = 1'h0; + \8812 [670] = 1'h0; + \8812 [671] = 1'h0; + \8812 [672] = 1'h0; + \8812 [673] = 1'h0; + \8812 [674] = 1'h0; + \8812 [675] = 1'h0; + \8812 [676] = 1'h0; + \8812 [677] = 1'h0; + \8812 [678] = 1'h0; + \8812 [679] = 1'h0; + \8812 [680] = 1'h0; + \8812 [681] = 1'h0; + \8812 [682] = 1'h0; + \8812 [683] = 1'h0; + \8812 [684] = 1'h0; + \8812 [685] = 1'h0; + \8812 [686] = 1'h0; + \8812 [687] = 1'h0; + \8812 [688] = 1'h0; + \8812 [689] = 1'h0; + \8812 [690] = 1'h0; + \8812 [691] = 1'h0; + \8812 [692] = 1'h0; + \8812 [693] = 1'h0; + \8812 [694] = 1'h0; + \8812 [695] = 1'h0; + \8812 [696] = 1'h0; + \8812 [697] = 1'h0; + \8812 [698] = 1'h0; + \8812 [699] = 1'h0; + \8812 [700] = 1'h0; + \8812 [701] = 1'h0; + \8812 [702] = 1'h0; + \8812 [703] = 1'h0; + \8812 [704] = 1'h0; + \8812 [705] = 1'h0; + \8812 [706] = 1'h0; + \8812 [707] = 1'h0; + \8812 [708] = 1'h0; + \8812 [709] = 1'h0; + \8812 [710] = 1'h0; + \8812 [711] = 1'h0; + \8812 [712] = 1'h0; + \8812 [713] = 1'h0; + \8812 [714] = 1'h0; + \8812 [715] = 1'h0; + \8812 [716] = 1'h0; + \8812 [717] = 1'h0; + \8812 [718] = 1'h0; + \8812 [719] = 1'h0; + \8812 [720] = 1'h0; + \8812 [721] = 1'h0; + \8812 [722] = 1'h0; + \8812 [723] = 1'h0; + \8812 [724] = 1'h0; + \8812 [725] = 1'h0; + \8812 [726] = 1'h0; + \8812 [727] = 1'h0; + \8812 [728] = 1'h0; + \8812 [729] = 1'h0; + \8812 [730] = 1'h0; + \8812 [731] = 1'h0; + \8812 [732] = 1'h0; + \8812 [733] = 1'h0; + \8812 [734] = 1'h0; + \8812 [735] = 1'h0; + \8812 [736] = 1'h0; + \8812 [737] = 1'h0; + \8812 [738] = 1'h0; + \8812 [739] = 1'h0; + \8812 [740] = 1'h0; + \8812 [741] = 1'h0; + \8812 [742] = 1'h0; + \8812 [743] = 1'h0; + \8812 [744] = 1'h0; + \8812 [745] = 1'h0; + \8812 [746] = 1'h0; + \8812 [747] = 1'h0; + \8812 [748] = 1'h0; + \8812 [749] = 1'h0; + \8812 [750] = 1'h0; + \8812 [751] = 1'h0; + \8812 [752] = 1'h0; + \8812 [753] = 1'h0; + \8812 [754] = 1'h0; + \8812 [755] = 1'h0; + \8812 [756] = 1'h0; + \8812 [757] = 1'h0; + \8812 [758] = 1'h0; + \8812 [759] = 1'h0; + \8812 [760] = 1'h0; + \8812 [761] = 1'h0; + \8812 [762] = 1'h0; + \8812 [763] = 1'h0; + \8812 [764] = 1'h0; + \8812 [765] = 1'h0; + \8812 [766] = 1'h0; + \8812 [767] = 1'h0; + \8812 [768] = 1'h0; + \8812 [769] = 1'h0; + \8812 [770] = 1'h0; + \8812 [771] = 1'h0; + \8812 [772] = 1'h0; + \8812 [773] = 1'h0; + \8812 [774] = 1'h0; + \8812 [775] = 1'h0; + \8812 [776] = 1'h0; + \8812 [777] = 1'h0; + \8812 [778] = 1'h0; + \8812 [779] = 1'h0; + \8812 [780] = 1'h0; + \8812 [781] = 1'h0; + \8812 [782] = 1'h0; + \8812 [783] = 1'h0; + \8812 [784] = 1'h0; + \8812 [785] = 1'h0; + \8812 [786] = 1'h0; + \8812 [787] = 1'h0; + \8812 [788] = 1'h0; + \8812 [789] = 1'h0; + \8812 [790] = 1'h0; + \8812 [791] = 1'h0; + \8812 [792] = 1'h0; + \8812 [793] = 1'h0; + \8812 [794] = 1'h0; + \8812 [795] = 1'h0; + \8812 [796] = 1'h0; + \8812 [797] = 1'h0; + \8812 [798] = 1'h0; + \8812 [799] = 1'h0; + \8812 [800] = 1'h0; + \8812 [801] = 1'h0; + \8812 [802] = 1'h0; + \8812 [803] = 1'h0; + \8812 [804] = 1'h0; + \8812 [805] = 1'h0; + \8812 [806] = 1'h0; + \8812 [807] = 1'h0; + \8812 [808] = 1'h0; + \8812 [809] = 1'h0; + \8812 [810] = 1'h0; + \8812 [811] = 1'h0; + \8812 [812] = 1'h0; + \8812 [813] = 1'h0; + \8812 [814] = 1'h0; + \8812 [815] = 1'h0; + \8812 [816] = 1'h0; + \8812 [817] = 1'h0; + \8812 [818] = 1'h0; + \8812 [819] = 1'h0; + \8812 [820] = 1'h0; + \8812 [821] = 1'h0; + \8812 [822] = 1'h0; + \8812 [823] = 1'h0; + \8812 [824] = 1'h0; + \8812 [825] = 1'h0; + \8812 [826] = 1'h0; + \8812 [827] = 1'h0; + \8812 [828] = 1'h0; + \8812 [829] = 1'h0; + \8812 [830] = 1'h0; + \8812 [831] = 1'h0; + \8812 [832] = 1'h0; + \8812 [833] = 1'h0; + \8812 [834] = 1'h0; + \8812 [835] = 1'h0; + \8812 [836] = 1'h0; + \8812 [837] = 1'h0; + \8812 [838] = 1'h0; + \8812 [839] = 1'h0; + \8812 [840] = 1'h0; + \8812 [841] = 1'h0; + \8812 [842] = 1'h0; + \8812 [843] = 1'h0; + \8812 [844] = 1'h0; + \8812 [845] = 1'h0; + \8812 [846] = 1'h0; + \8812 [847] = 1'h0; + \8812 [848] = 1'h0; + \8812 [849] = 1'h0; + \8812 [850] = 1'h0; + \8812 [851] = 1'h0; + \8812 [852] = 1'h0; + \8812 [853] = 1'h0; + \8812 [854] = 1'h0; + \8812 [855] = 1'h0; + \8812 [856] = 1'h0; + \8812 [857] = 1'h0; + \8812 [858] = 1'h0; + \8812 [859] = 1'h0; + \8812 [860] = 1'h0; + \8812 [861] = 1'h0; + \8812 [862] = 1'h0; + \8812 [863] = 1'h0; + \8812 [864] = 1'h0; + \8812 [865] = 1'h0; + \8812 [866] = 1'h0; + \8812 [867] = 1'h0; + \8812 [868] = 1'h0; + \8812 [869] = 1'h0; + \8812 [870] = 1'h0; + \8812 [871] = 1'h0; + \8812 [872] = 1'h0; + \8812 [873] = 1'h0; + \8812 [874] = 1'h0; + \8812 [875] = 1'h0; + \8812 [876] = 1'h0; + \8812 [877] = 1'h0; + \8812 [878] = 1'h0; + \8812 [879] = 1'h0; + \8812 [880] = 1'h0; + \8812 [881] = 1'h0; + \8812 [882] = 1'h0; + \8812 [883] = 1'h0; + \8812 [884] = 1'h0; + \8812 [885] = 1'h0; + \8812 [886] = 1'h0; + \8812 [887] = 1'h0; + \8812 [888] = 1'h0; + \8812 [889] = 1'h0; + \8812 [890] = 1'h0; + \8812 [891] = 1'h0; + \8812 [892] = 1'h0; + \8812 [893] = 1'h0; + \8812 [894] = 1'h0; + \8812 [895] = 1'h0; + \8812 [896] = 1'h0; + \8812 [897] = 1'h0; + \8812 [898] = 1'h0; + \8812 [899] = 1'h0; + \8812 [900] = 1'h0; + \8812 [901] = 1'h0; + \8812 [902] = 1'h0; + \8812 [903] = 1'h0; + \8812 [904] = 1'h0; + \8812 [905] = 1'h0; + \8812 [906] = 1'h0; + \8812 [907] = 1'h0; + \8812 [908] = 1'h0; + \8812 [909] = 1'h0; + \8812 [910] = 1'h0; + \8812 [911] = 1'h0; + \8812 [912] = 1'h0; + \8812 [913] = 1'h0; + \8812 [914] = 1'h0; + \8812 [915] = 1'h0; + \8812 [916] = 1'h0; + \8812 [917] = 1'h0; + \8812 [918] = 1'h0; + \8812 [919] = 1'h0; + \8812 [920] = 1'h0; + \8812 [921] = 1'h0; + \8812 [922] = 1'h0; + \8812 [923] = 1'h0; + \8812 [924] = 1'h0; + \8812 [925] = 1'h0; + \8812 [926] = 1'h0; + \8812 [927] = 1'h0; + \8812 [928] = 1'h0; + \8812 [929] = 1'h0; + \8812 [930] = 1'h0; + \8812 [931] = 1'h0; + \8812 [932] = 1'h0; + \8812 [933] = 1'h0; + \8812 [934] = 1'h0; + \8812 [935] = 1'h0; + \8812 [936] = 1'h0; + \8812 [937] = 1'h0; + \8812 [938] = 1'h0; + \8812 [939] = 1'h0; + \8812 [940] = 1'h0; + \8812 [941] = 1'h0; + \8812 [942] = 1'h0; + \8812 [943] = 1'h0; + \8812 [944] = 1'h0; + \8812 [945] = 1'h0; + \8812 [946] = 1'h0; + \8812 [947] = 1'h0; + \8812 [948] = 1'h0; + \8812 [949] = 1'h0; + \8812 [950] = 1'h0; + \8812 [951] = 1'h0; + \8812 [952] = 1'h0; + \8812 [953] = 1'h0; + \8812 [954] = 1'h0; + \8812 [955] = 1'h0; + \8812 [956] = 1'h0; + \8812 [957] = 1'h0; + \8812 [958] = 1'h0; + \8812 [959] = 1'h0; + \8812 [960] = 1'h0; + \8812 [961] = 1'h0; + \8812 [962] = 1'h0; + \8812 [963] = 1'h0; + \8812 [964] = 1'h0; + \8812 [965] = 1'h0; + \8812 [966] = 1'h0; + \8812 [967] = 1'h0; + \8812 [968] = 1'h0; + \8812 [969] = 1'h0; + \8812 [970] = 1'h0; + \8812 [971] = 1'h0; + \8812 [972] = 1'h0; + \8812 [973] = 1'h0; + \8812 [974] = 1'h0; + \8812 [975] = 1'h0; + \8812 [976] = 1'h0; + \8812 [977] = 1'h0; + \8812 [978] = 1'h0; + \8812 [979] = 1'h0; + \8812 [980] = 1'h0; + \8812 [981] = 1'h0; + \8812 [982] = 1'h0; + \8812 [983] = 1'h0; + \8812 [984] = 1'h0; + \8812 [985] = 1'h0; + \8812 [986] = 1'h0; + \8812 [987] = 1'h0; + \8812 [988] = 1'h0; + \8812 [989] = 1'h0; + \8812 [990] = 1'h0; + \8812 [991] = 1'h0; + \8812 [992] = 1'h0; + \8812 [993] = 1'h0; + \8812 [994] = 1'h0; + \8812 [995] = 1'h0; + \8812 [996] = 1'h0; + \8812 [997] = 1'h0; + \8812 [998] = 1'h0; + \8812 [999] = 1'h0; + \8812 [1000] = 1'h0; + \8812 [1001] = 1'h0; + \8812 [1002] = 1'h0; + \8812 [1003] = 1'h0; + \8812 [1004] = 1'h0; + \8812 [1005] = 1'h0; + \8812 [1006] = 1'h0; + \8812 [1007] = 1'h0; + \8812 [1008] = 1'h0; + \8812 [1009] = 1'h0; + \8812 [1010] = 1'h0; + \8812 [1011] = 1'h0; + \8812 [1012] = 1'h0; + \8812 [1013] = 1'h0; + \8812 [1014] = 1'h0; + \8812 [1015] = 1'h0; + \8812 [1016] = 1'h0; + \8812 [1017] = 1'h0; + \8812 [1018] = 1'h0; + \8812 [1019] = 1'h0; + \8812 [1020] = 1'h0; + \8812 [1021] = 1'h0; + \8812 [1022] = 1'h0; + \8812 [1023] = 1'h0; + \8812 [1024] = 1'h0; + \8812 [1025] = 1'h0; + \8812 [1026] = 1'h0; + \8812 [1027] = 1'h0; + \8812 [1028] = 1'h0; + \8812 [1029] = 1'h0; + \8812 [1030] = 1'h0; + \8812 [1031] = 1'h0; + \8812 [1032] = 1'h0; + \8812 [1033] = 1'h0; + \8812 [1034] = 1'h0; + \8812 [1035] = 1'h0; + \8812 [1036] = 1'h0; + \8812 [1037] = 1'h0; + \8812 [1038] = 1'h0; + \8812 [1039] = 1'h0; + \8812 [1040] = 1'h0; + \8812 [1041] = 1'h0; + \8812 [1042] = 1'h0; + \8812 [1043] = 1'h0; + \8812 [1044] = 1'h0; + \8812 [1045] = 1'h0; + \8812 [1046] = 1'h0; + \8812 [1047] = 1'h0; + \8812 [1048] = 1'h0; + \8812 [1049] = 1'h0; + \8812 [1050] = 1'h0; + \8812 [1051] = 1'h0; + \8812 [1052] = 1'h0; + \8812 [1053] = 1'h0; + \8812 [1054] = 1'h0; + \8812 [1055] = 1'h0; + \8812 [1056] = 1'h0; + \8812 [1057] = 1'h0; + \8812 [1058] = 1'h0; + \8812 [1059] = 1'h0; + \8812 [1060] = 1'h0; + \8812 [1061] = 1'h0; + \8812 [1062] = 1'h0; + \8812 [1063] = 1'h0; + \8812 [1064] = 1'h0; + \8812 [1065] = 1'h0; + \8812 [1066] = 1'h0; + \8812 [1067] = 1'h0; + \8812 [1068] = 1'h0; + \8812 [1069] = 1'h0; + \8812 [1070] = 1'h0; + \8812 [1071] = 1'h0; + \8812 [1072] = 1'h0; + \8812 [1073] = 1'h0; + \8812 [1074] = 1'h0; + \8812 [1075] = 1'h0; + \8812 [1076] = 1'h0; + \8812 [1077] = 1'h0; + \8812 [1078] = 1'h0; + \8812 [1079] = 1'h0; + \8812 [1080] = 1'h0; + \8812 [1081] = 1'h0; + \8812 [1082] = 1'h0; + \8812 [1083] = 1'h0; + \8812 [1084] = 1'h0; + \8812 [1085] = 1'h0; + \8812 [1086] = 1'h0; + \8812 [1087] = 1'h0; + \8812 [1088] = 1'h0; + \8812 [1089] = 1'h0; + \8812 [1090] = 1'h0; + \8812 [1091] = 1'h0; + \8812 [1092] = 1'h0; + \8812 [1093] = 1'h0; + \8812 [1094] = 1'h0; + \8812 [1095] = 1'h0; + \8812 [1096] = 1'h0; + \8812 [1097] = 1'h0; + \8812 [1098] = 1'h0; + \8812 [1099] = 1'h0; + \8812 [1100] = 1'h0; + \8812 [1101] = 1'h0; + \8812 [1102] = 1'h0; + \8812 [1103] = 1'h0; + \8812 [1104] = 1'h0; + \8812 [1105] = 1'h0; + \8812 [1106] = 1'h0; + \8812 [1107] = 1'h0; + \8812 [1108] = 1'h0; + \8812 [1109] = 1'h0; + \8812 [1110] = 1'h0; + \8812 [1111] = 1'h0; + \8812 [1112] = 1'h0; + \8812 [1113] = 1'h0; + \8812 [1114] = 1'h0; + \8812 [1115] = 1'h0; + \8812 [1116] = 1'h0; + \8812 [1117] = 1'h0; + \8812 [1118] = 1'h0; + \8812 [1119] = 1'h0; + \8812 [1120] = 1'h0; + \8812 [1121] = 1'h0; + \8812 [1122] = 1'h0; + \8812 [1123] = 1'h0; + \8812 [1124] = 1'h0; + \8812 [1125] = 1'h0; + \8812 [1126] = 1'h0; + \8812 [1127] = 1'h0; + \8812 [1128] = 1'h0; + \8812 [1129] = 1'h0; + \8812 [1130] = 1'h0; + \8812 [1131] = 1'h0; + \8812 [1132] = 1'h0; + \8812 [1133] = 1'h0; + \8812 [1134] = 1'h0; + \8812 [1135] = 1'h0; + \8812 [1136] = 1'h0; + \8812 [1137] = 1'h0; + \8812 [1138] = 1'h0; + \8812 [1139] = 1'h0; + \8812 [1140] = 1'h0; + \8812 [1141] = 1'h0; + \8812 [1142] = 1'h0; + \8812 [1143] = 1'h0; + \8812 [1144] = 1'h0; + \8812 [1145] = 1'h0; + \8812 [1146] = 1'h0; + \8812 [1147] = 1'h0; + \8812 [1148] = 1'h0; + \8812 [1149] = 1'h0; + \8812 [1150] = 1'h0; + \8812 [1151] = 1'h0; + \8812 [1152] = 1'h0; + \8812 [1153] = 1'h0; + \8812 [1154] = 1'h0; + \8812 [1155] = 1'h0; + \8812 [1156] = 1'h0; + \8812 [1157] = 1'h0; + \8812 [1158] = 1'h0; + \8812 [1159] = 1'h0; + \8812 [1160] = 1'h0; + \8812 [1161] = 1'h0; + \8812 [1162] = 1'h0; + \8812 [1163] = 1'h0; + \8812 [1164] = 1'h0; + \8812 [1165] = 1'h0; + \8812 [1166] = 1'h0; + \8812 [1167] = 1'h0; + \8812 [1168] = 1'h0; + \8812 [1169] = 1'h0; + \8812 [1170] = 1'h0; + \8812 [1171] = 1'h0; + \8812 [1172] = 1'h0; + \8812 [1173] = 1'h0; + \8812 [1174] = 1'h0; + \8812 [1175] = 1'h0; + \8812 [1176] = 1'h0; + \8812 [1177] = 1'h0; + \8812 [1178] = 1'h0; + \8812 [1179] = 1'h0; + \8812 [1180] = 1'h0; + \8812 [1181] = 1'h0; + \8812 [1182] = 1'h0; + \8812 [1183] = 1'h0; + \8812 [1184] = 1'h0; + \8812 [1185] = 1'h0; + \8812 [1186] = 1'h0; + \8812 [1187] = 1'h0; + \8812 [1188] = 1'h0; + \8812 [1189] = 1'h0; + \8812 [1190] = 1'h0; + \8812 [1191] = 1'h0; + \8812 [1192] = 1'h0; + \8812 [1193] = 1'h0; + \8812 [1194] = 1'h0; + \8812 [1195] = 1'h0; + \8812 [1196] = 1'h0; + \8812 [1197] = 1'h0; + \8812 [1198] = 1'h0; + \8812 [1199] = 1'h0; + \8812 [1200] = 1'h0; + \8812 [1201] = 1'h0; + \8812 [1202] = 1'h0; + \8812 [1203] = 1'h0; + \8812 [1204] = 1'h0; + \8812 [1205] = 1'h0; + \8812 [1206] = 1'h0; + \8812 [1207] = 1'h0; + \8812 [1208] = 1'h0; + \8812 [1209] = 1'h0; + \8812 [1210] = 1'h0; + \8812 [1211] = 1'h0; + \8812 [1212] = 1'h0; + \8812 [1213] = 1'h0; + \8812 [1214] = 1'h0; + \8812 [1215] = 1'h0; + \8812 [1216] = 1'h0; + \8812 [1217] = 1'h0; + \8812 [1218] = 1'h0; + \8812 [1219] = 1'h0; + \8812 [1220] = 1'h0; + \8812 [1221] = 1'h0; + \8812 [1222] = 1'h0; + \8812 [1223] = 1'h0; + \8812 [1224] = 1'h0; + \8812 [1225] = 1'h0; + \8812 [1226] = 1'h0; + \8812 [1227] = 1'h0; + \8812 [1228] = 1'h0; + \8812 [1229] = 1'h0; + \8812 [1230] = 1'h0; + \8812 [1231] = 1'h0; + \8812 [1232] = 1'h0; + \8812 [1233] = 1'h0; + \8812 [1234] = 1'h0; + \8812 [1235] = 1'h0; + \8812 [1236] = 1'h0; + \8812 [1237] = 1'h0; + \8812 [1238] = 1'h0; + \8812 [1239] = 1'h0; + \8812 [1240] = 1'h0; + \8812 [1241] = 1'h0; + \8812 [1242] = 1'h0; + \8812 [1243] = 1'h0; + \8812 [1244] = 1'h0; + \8812 [1245] = 1'h0; + \8812 [1246] = 1'h0; + \8812 [1247] = 1'h0; + \8812 [1248] = 1'h0; + \8812 [1249] = 1'h0; + \8812 [1250] = 1'h0; + \8812 [1251] = 1'h0; + \8812 [1252] = 1'h0; + \8812 [1253] = 1'h0; + \8812 [1254] = 1'h0; + \8812 [1255] = 1'h0; + \8812 [1256] = 1'h0; + \8812 [1257] = 1'h0; + \8812 [1258] = 1'h0; + \8812 [1259] = 1'h0; + \8812 [1260] = 1'h0; + \8812 [1261] = 1'h0; + \8812 [1262] = 1'h0; + \8812 [1263] = 1'h0; + \8812 [1264] = 1'h0; + \8812 [1265] = 1'h0; + \8812 [1266] = 1'h0; + \8812 [1267] = 1'h0; + \8812 [1268] = 1'h0; + \8812 [1269] = 1'h0; + \8812 [1270] = 1'h0; + \8812 [1271] = 1'h0; + \8812 [1272] = 1'h0; + \8812 [1273] = 1'h0; + \8812 [1274] = 1'h0; + \8812 [1275] = 1'h0; + \8812 [1276] = 1'h0; + \8812 [1277] = 1'h0; + \8812 [1278] = 1'h0; + \8812 [1279] = 1'h0; + \8812 [1280] = 1'h0; + \8812 [1281] = 1'h0; + \8812 [1282] = 1'h0; + \8812 [1283] = 1'h0; + \8812 [1284] = 1'h0; + \8812 [1285] = 1'h0; + \8812 [1286] = 1'h0; + \8812 [1287] = 1'h0; + \8812 [1288] = 1'h0; + \8812 [1289] = 1'h0; + \8812 [1290] = 1'h0; + \8812 [1291] = 1'h0; + \8812 [1292] = 1'h0; + \8812 [1293] = 1'h0; + \8812 [1294] = 1'h0; + \8812 [1295] = 1'h0; + \8812 [1296] = 1'h0; + \8812 [1297] = 1'h0; + \8812 [1298] = 1'h0; + \8812 [1299] = 1'h0; + \8812 [1300] = 1'h0; + \8812 [1301] = 1'h0; + \8812 [1302] = 1'h0; + \8812 [1303] = 1'h0; + \8812 [1304] = 1'h0; + \8812 [1305] = 1'h0; + \8812 [1306] = 1'h0; + \8812 [1307] = 1'h0; + \8812 [1308] = 1'h0; + \8812 [1309] = 1'h0; + \8812 [1310] = 1'h0; + \8812 [1311] = 1'h0; + \8812 [1312] = 1'h0; + \8812 [1313] = 1'h0; + \8812 [1314] = 1'h0; + \8812 [1315] = 1'h0; + \8812 [1316] = 1'h0; + \8812 [1317] = 1'h0; + \8812 [1318] = 1'h0; + \8812 [1319] = 1'h0; + \8812 [1320] = 1'h0; + \8812 [1321] = 1'h0; + \8812 [1322] = 1'h0; + \8812 [1323] = 1'h0; + \8812 [1324] = 1'h0; + \8812 [1325] = 1'h0; + \8812 [1326] = 1'h0; + \8812 [1327] = 1'h0; + \8812 [1328] = 1'h0; + \8812 [1329] = 1'h0; + \8812 [1330] = 1'h0; + \8812 [1331] = 1'h0; + \8812 [1332] = 1'h0; + \8812 [1333] = 1'h0; + \8812 [1334] = 1'h0; + \8812 [1335] = 1'h0; + \8812 [1336] = 1'h0; + \8812 [1337] = 1'h0; + \8812 [1338] = 1'h0; + \8812 [1339] = 1'h0; + \8812 [1340] = 1'h0; + \8812 [1341] = 1'h0; + \8812 [1342] = 1'h0; + \8812 [1343] = 1'h0; + \8812 [1344] = 1'h0; + \8812 [1345] = 1'h0; + \8812 [1346] = 1'h0; + \8812 [1347] = 1'h0; + \8812 [1348] = 1'h0; + \8812 [1349] = 1'h0; + \8812 [1350] = 1'h0; + \8812 [1351] = 1'h0; + \8812 [1352] = 1'h0; + \8812 [1353] = 1'h0; + \8812 [1354] = 1'h0; + \8812 [1355] = 1'h0; + \8812 [1356] = 1'h0; + \8812 [1357] = 1'h0; + \8812 [1358] = 1'h0; + \8812 [1359] = 1'h0; + \8812 [1360] = 1'h0; + \8812 [1361] = 1'h0; + \8812 [1362] = 1'h0; + \8812 [1363] = 1'h0; + \8812 [1364] = 1'h0; + \8812 [1365] = 1'h0; + \8812 [1366] = 1'h0; + \8812 [1367] = 1'h0; + \8812 [1368] = 1'h0; + \8812 [1369] = 1'h0; + \8812 [1370] = 1'h0; + \8812 [1371] = 1'h0; + \8812 [1372] = 1'h0; + \8812 [1373] = 1'h0; + \8812 [1374] = 1'h0; + \8812 [1375] = 1'h0; + \8812 [1376] = 1'h0; + \8812 [1377] = 1'h0; + \8812 [1378] = 1'h0; + \8812 [1379] = 1'h0; + \8812 [1380] = 1'h0; + \8812 [1381] = 1'h0; + \8812 [1382] = 1'h0; + \8812 [1383] = 1'h0; + \8812 [1384] = 1'h0; + \8812 [1385] = 1'h0; + \8812 [1386] = 1'h0; + \8812 [1387] = 1'h0; + \8812 [1388] = 1'h0; + \8812 [1389] = 1'h0; + \8812 [1390] = 1'h0; + \8812 [1391] = 1'h0; + \8812 [1392] = 1'h0; + \8812 [1393] = 1'h0; + \8812 [1394] = 1'h0; + \8812 [1395] = 1'h0; + \8812 [1396] = 1'h0; + \8812 [1397] = 1'h0; + \8812 [1398] = 1'h0; + \8812 [1399] = 1'h0; + \8812 [1400] = 1'h0; + \8812 [1401] = 1'h0; + \8812 [1402] = 1'h0; + \8812 [1403] = 1'h0; + \8812 [1404] = 1'h0; + \8812 [1405] = 1'h0; + \8812 [1406] = 1'h0; + \8812 [1407] = 1'h0; + \8812 [1408] = 1'h0; + \8812 [1409] = 1'h0; + \8812 [1410] = 1'h0; + \8812 [1411] = 1'h0; + \8812 [1412] = 1'h0; + \8812 [1413] = 1'h0; + \8812 [1414] = 1'h0; + \8812 [1415] = 1'h0; + \8812 [1416] = 1'h0; + \8812 [1417] = 1'h0; + \8812 [1418] = 1'h0; + \8812 [1419] = 1'h0; + \8812 [1420] = 1'h0; + \8812 [1421] = 1'h0; + \8812 [1422] = 1'h0; + \8812 [1423] = 1'h0; + \8812 [1424] = 1'h0; + \8812 [1425] = 1'h0; + \8812 [1426] = 1'h0; + \8812 [1427] = 1'h0; + \8812 [1428] = 1'h0; + \8812 [1429] = 1'h0; + \8812 [1430] = 1'h0; + \8812 [1431] = 1'h0; + \8812 [1432] = 1'h0; + \8812 [1433] = 1'h0; + \8812 [1434] = 1'h0; + \8812 [1435] = 1'h0; + \8812 [1436] = 1'h0; + \8812 [1437] = 1'h0; + \8812 [1438] = 1'h0; + \8812 [1439] = 1'h0; + \8812 [1440] = 1'h0; + \8812 [1441] = 1'h0; + \8812 [1442] = 1'h0; + \8812 [1443] = 1'h0; + \8812 [1444] = 1'h0; + \8812 [1445] = 1'h0; + \8812 [1446] = 1'h0; + \8812 [1447] = 1'h0; + \8812 [1448] = 1'h0; + \8812 [1449] = 1'h0; + \8812 [1450] = 1'h0; + \8812 [1451] = 1'h0; + \8812 [1452] = 1'h0; + \8812 [1453] = 1'h0; + \8812 [1454] = 1'h0; + \8812 [1455] = 1'h0; + \8812 [1456] = 1'h0; + \8812 [1457] = 1'h0; + \8812 [1458] = 1'h0; + \8812 [1459] = 1'h0; + \8812 [1460] = 1'h0; + \8812 [1461] = 1'h0; + \8812 [1462] = 1'h0; + \8812 [1463] = 1'h0; + \8812 [1464] = 1'h0; + \8812 [1465] = 1'h0; + \8812 [1466] = 1'h0; + \8812 [1467] = 1'h0; + \8812 [1468] = 1'h0; + \8812 [1469] = 1'h0; + \8812 [1470] = 1'h0; + \8812 [1471] = 1'h0; + \8812 [1472] = 1'h0; + \8812 [1473] = 1'h0; + \8812 [1474] = 1'h0; + \8812 [1475] = 1'h0; + \8812 [1476] = 1'h0; + \8812 [1477] = 1'h0; + \8812 [1478] = 1'h0; + \8812 [1479] = 1'h0; + \8812 [1480] = 1'h0; + \8812 [1481] = 1'h0; + \8812 [1482] = 1'h0; + \8812 [1483] = 1'h0; + \8812 [1484] = 1'h0; + \8812 [1485] = 1'h0; + \8812 [1486] = 1'h0; + \8812 [1487] = 1'h0; + \8812 [1488] = 1'h0; + \8812 [1489] = 1'h0; + \8812 [1490] = 1'h0; + \8812 [1491] = 1'h0; + \8812 [1492] = 1'h0; + \8812 [1493] = 1'h0; + \8812 [1494] = 1'h0; + \8812 [1495] = 1'h0; + \8812 [1496] = 1'h0; + \8812 [1497] = 1'h0; + \8812 [1498] = 1'h0; + \8812 [1499] = 1'h0; + \8812 [1500] = 1'h0; + \8812 [1501] = 1'h0; + \8812 [1502] = 1'h0; + \8812 [1503] = 1'h0; + \8812 [1504] = 1'h0; + \8812 [1505] = 1'h0; + \8812 [1506] = 1'h0; + \8812 [1507] = 1'h0; + \8812 [1508] = 1'h0; + \8812 [1509] = 1'h0; + \8812 [1510] = 1'h0; + \8812 [1511] = 1'h0; + \8812 [1512] = 1'h0; + \8812 [1513] = 1'h0; + \8812 [1514] = 1'h0; + \8812 [1515] = 1'h0; + \8812 [1516] = 1'h0; + \8812 [1517] = 1'h0; + \8812 [1518] = 1'h0; + \8812 [1519] = 1'h0; + \8812 [1520] = 1'h0; + \8812 [1521] = 1'h0; + \8812 [1522] = 1'h0; + \8812 [1523] = 1'h0; + \8812 [1524] = 1'h0; + \8812 [1525] = 1'h0; + \8812 [1526] = 1'h0; + \8812 [1527] = 1'h0; + \8812 [1528] = 1'h0; + \8812 [1529] = 1'h0; + \8812 [1530] = 1'h0; + \8812 [1531] = 1'h0; + \8812 [1532] = 1'h0; + \8812 [1533] = 1'h0; + \8812 [1534] = 1'h0; + \8812 [1535] = 1'h0; + \8812 [1536] = 1'h0; + \8812 [1537] = 1'h0; + \8812 [1538] = 1'h0; + \8812 [1539] = 1'h0; + \8812 [1540] = 1'h0; + \8812 [1541] = 1'h0; + \8812 [1542] = 1'h0; + \8812 [1543] = 1'h0; + \8812 [1544] = 1'h0; + \8812 [1545] = 1'h0; + \8812 [1546] = 1'h0; + \8812 [1547] = 1'h0; + \8812 [1548] = 1'h0; + \8812 [1549] = 1'h0; + \8812 [1550] = 1'h0; + \8812 [1551] = 1'h0; + \8812 [1552] = 1'h0; + \8812 [1553] = 1'h0; + \8812 [1554] = 1'h0; + \8812 [1555] = 1'h0; + \8812 [1556] = 1'h0; + \8812 [1557] = 1'h0; + \8812 [1558] = 1'h0; + \8812 [1559] = 1'h0; + \8812 [1560] = 1'h0; + \8812 [1561] = 1'h0; + \8812 [1562] = 1'h0; + \8812 [1563] = 1'h0; + \8812 [1564] = 1'h0; + \8812 [1565] = 1'h0; + \8812 [1566] = 1'h0; + \8812 [1567] = 1'h0; + \8812 [1568] = 1'h0; + \8812 [1569] = 1'h0; + \8812 [1570] = 1'h0; + \8812 [1571] = 1'h0; + \8812 [1572] = 1'h0; + \8812 [1573] = 1'h0; + \8812 [1574] = 1'h0; + \8812 [1575] = 1'h0; + \8812 [1576] = 1'h0; + \8812 [1577] = 1'h0; + \8812 [1578] = 1'h0; + \8812 [1579] = 1'h0; + \8812 [1580] = 1'h0; + \8812 [1581] = 1'h0; + \8812 [1582] = 1'h0; + \8812 [1583] = 1'h0; + \8812 [1584] = 1'h0; + \8812 [1585] = 1'h0; + \8812 [1586] = 1'h0; + \8812 [1587] = 1'h0; + \8812 [1588] = 1'h0; + \8812 [1589] = 1'h0; + \8812 [1590] = 1'h0; + \8812 [1591] = 1'h0; + \8812 [1592] = 1'h0; + \8812 [1593] = 1'h0; + \8812 [1594] = 1'h0; + \8812 [1595] = 1'h0; + \8812 [1596] = 1'h0; + \8812 [1597] = 1'h0; + \8812 [1598] = 1'h0; + \8812 [1599] = 1'h0; + \8812 [1600] = 1'h0; + \8812 [1601] = 1'h0; + \8812 [1602] = 1'h0; + \8812 [1603] = 1'h0; + \8812 [1604] = 1'h0; + \8812 [1605] = 1'h0; + \8812 [1606] = 1'h0; + \8812 [1607] = 1'h0; + \8812 [1608] = 1'h0; + \8812 [1609] = 1'h0; + \8812 [1610] = 1'h0; + \8812 [1611] = 1'h0; + \8812 [1612] = 1'h0; + \8812 [1613] = 1'h0; + \8812 [1614] = 1'h0; + \8812 [1615] = 1'h0; + \8812 [1616] = 1'h0; + \8812 [1617] = 1'h0; + \8812 [1618] = 1'h0; + \8812 [1619] = 1'h0; + \8812 [1620] = 1'h0; + \8812 [1621] = 1'h0; + \8812 [1622] = 1'h0; + \8812 [1623] = 1'h0; + \8812 [1624] = 1'h0; + \8812 [1625] = 1'h0; + \8812 [1626] = 1'h0; + \8812 [1627] = 1'h0; + \8812 [1628] = 1'h0; + \8812 [1629] = 1'h0; + \8812 [1630] = 1'h0; + \8812 [1631] = 1'h0; + \8812 [1632] = 1'h0; + \8812 [1633] = 1'h0; + \8812 [1634] = 1'h0; + \8812 [1635] = 1'h0; + \8812 [1636] = 1'h0; + \8812 [1637] = 1'h0; + \8812 [1638] = 1'h0; + \8812 [1639] = 1'h0; + \8812 [1640] = 1'h0; + \8812 [1641] = 1'h0; + \8812 [1642] = 1'h0; + \8812 [1643] = 1'h0; + \8812 [1644] = 1'h0; + \8812 [1645] = 1'h0; + \8812 [1646] = 1'h0; + \8812 [1647] = 1'h0; + \8812 [1648] = 1'h0; + \8812 [1649] = 1'h0; + \8812 [1650] = 1'h0; + \8812 [1651] = 1'h0; + \8812 [1652] = 1'h0; + \8812 [1653] = 1'h0; + \8812 [1654] = 1'h0; + \8812 [1655] = 1'h0; + \8812 [1656] = 1'h0; + \8812 [1657] = 1'h0; + \8812 [1658] = 1'h0; + \8812 [1659] = 1'h0; + \8812 [1660] = 1'h0; + \8812 [1661] = 1'h0; + \8812 [1662] = 1'h0; + \8812 [1663] = 1'h0; + \8812 [1664] = 1'h0; + \8812 [1665] = 1'h0; + \8812 [1666] = 1'h0; + \8812 [1667] = 1'h0; + \8812 [1668] = 1'h0; + \8812 [1669] = 1'h0; + \8812 [1670] = 1'h0; + \8812 [1671] = 1'h0; + \8812 [1672] = 1'h0; + \8812 [1673] = 1'h0; + \8812 [1674] = 1'h0; + \8812 [1675] = 1'h0; + \8812 [1676] = 1'h0; + \8812 [1677] = 1'h0; + \8812 [1678] = 1'h0; + \8812 [1679] = 1'h0; + \8812 [1680] = 1'h0; + \8812 [1681] = 1'h0; + \8812 [1682] = 1'h0; + \8812 [1683] = 1'h0; + \8812 [1684] = 1'h0; + \8812 [1685] = 1'h0; + \8812 [1686] = 1'h0; + \8812 [1687] = 1'h0; + \8812 [1688] = 1'h0; + \8812 [1689] = 1'h0; + \8812 [1690] = 1'h0; + \8812 [1691] = 1'h0; + \8812 [1692] = 1'h0; + \8812 [1693] = 1'h0; + \8812 [1694] = 1'h0; + \8812 [1695] = 1'h0; + \8812 [1696] = 1'h0; + \8812 [1697] = 1'h0; + \8812 [1698] = 1'h0; + \8812 [1699] = 1'h0; + \8812 [1700] = 1'h0; + \8812 [1701] = 1'h0; + \8812 [1702] = 1'h0; + \8812 [1703] = 1'h0; + \8812 [1704] = 1'h0; + \8812 [1705] = 1'h0; + \8812 [1706] = 1'h0; + \8812 [1707] = 1'h0; + \8812 [1708] = 1'h0; + \8812 [1709] = 1'h0; + \8812 [1710] = 1'h0; + \8812 [1711] = 1'h0; + \8812 [1712] = 1'h0; + \8812 [1713] = 1'h0; + \8812 [1714] = 1'h0; + \8812 [1715] = 1'h0; + \8812 [1716] = 1'h0; + \8812 [1717] = 1'h0; + \8812 [1718] = 1'h0; + \8812 [1719] = 1'h0; + \8812 [1720] = 1'h0; + \8812 [1721] = 1'h0; + \8812 [1722] = 1'h0; + \8812 [1723] = 1'h0; + \8812 [1724] = 1'h0; + \8812 [1725] = 1'h0; + \8812 [1726] = 1'h0; + \8812 [1727] = 1'h0; + \8812 [1728] = 1'h0; + \8812 [1729] = 1'h0; + \8812 [1730] = 1'h0; + \8812 [1731] = 1'h0; + \8812 [1732] = 1'h0; + \8812 [1733] = 1'h0; + \8812 [1734] = 1'h0; + \8812 [1735] = 1'h0; + \8812 [1736] = 1'h0; + \8812 [1737] = 1'h0; + \8812 [1738] = 1'h0; + \8812 [1739] = 1'h0; + \8812 [1740] = 1'h0; + \8812 [1741] = 1'h0; + \8812 [1742] = 1'h0; + \8812 [1743] = 1'h0; + \8812 [1744] = 1'h0; + \8812 [1745] = 1'h0; + \8812 [1746] = 1'h0; + \8812 [1747] = 1'h0; + \8812 [1748] = 1'h0; + \8812 [1749] = 1'h0; + \8812 [1750] = 1'h0; + \8812 [1751] = 1'h0; + \8812 [1752] = 1'h0; + \8812 [1753] = 1'h0; + \8812 [1754] = 1'h0; + \8812 [1755] = 1'h0; + \8812 [1756] = 1'h0; + \8812 [1757] = 1'h0; + \8812 [1758] = 1'h0; + \8812 [1759] = 1'h0; + \8812 [1760] = 1'h0; + \8812 [1761] = 1'h0; + \8812 [1762] = 1'h0; + \8812 [1763] = 1'h0; + \8812 [1764] = 1'h0; + \8812 [1765] = 1'h0; + \8812 [1766] = 1'h0; + \8812 [1767] = 1'h0; + \8812 [1768] = 1'h0; + \8812 [1769] = 1'h0; + \8812 [1770] = 1'h0; + \8812 [1771] = 1'h0; + \8812 [1772] = 1'h0; + \8812 [1773] = 1'h0; + \8812 [1774] = 1'h0; + \8812 [1775] = 1'h0; + \8812 [1776] = 1'h0; + \8812 [1777] = 1'h0; + \8812 [1778] = 1'h0; + \8812 [1779] = 1'h0; + \8812 [1780] = 1'h0; + \8812 [1781] = 1'h0; + \8812 [1782] = 1'h0; + \8812 [1783] = 1'h0; + \8812 [1784] = 1'h0; + \8812 [1785] = 1'h0; + \8812 [1786] = 1'h0; + \8812 [1787] = 1'h0; + \8812 [1788] = 1'h0; + \8812 [1789] = 1'h0; + \8812 [1790] = 1'h0; + \8812 [1791] = 1'h0; + \8812 [1792] = 1'h0; + \8812 [1793] = 1'h0; + \8812 [1794] = 1'h0; + \8812 [1795] = 1'h0; + \8812 [1796] = 1'h0; + \8812 [1797] = 1'h0; + \8812 [1798] = 1'h0; + \8812 [1799] = 1'h0; + \8812 [1800] = 1'h0; + \8812 [1801] = 1'h0; + \8812 [1802] = 1'h0; + \8812 [1803] = 1'h0; + \8812 [1804] = 1'h0; + \8812 [1805] = 1'h0; + \8812 [1806] = 1'h0; + \8812 [1807] = 1'h0; + \8812 [1808] = 1'h0; + \8812 [1809] = 1'h0; + \8812 [1810] = 1'h0; + \8812 [1811] = 1'h0; + \8812 [1812] = 1'h0; + \8812 [1813] = 1'h0; + \8812 [1814] = 1'h0; + \8812 [1815] = 1'h0; + \8812 [1816] = 1'h0; + \8812 [1817] = 1'h0; + \8812 [1818] = 1'h0; + \8812 [1819] = 1'h0; + \8812 [1820] = 1'h0; + \8812 [1821] = 1'h0; + \8812 [1822] = 1'h0; + \8812 [1823] = 1'h0; + \8812 [1824] = 1'h0; + \8812 [1825] = 1'h0; + \8812 [1826] = 1'h0; + \8812 [1827] = 1'h0; + \8812 [1828] = 1'h0; + \8812 [1829] = 1'h0; + \8812 [1830] = 1'h0; + \8812 [1831] = 1'h0; + \8812 [1832] = 1'h0; + \8812 [1833] = 1'h0; + \8812 [1834] = 1'h0; + \8812 [1835] = 1'h0; + \8812 [1836] = 1'h0; + \8812 [1837] = 1'h0; + \8812 [1838] = 1'h0; + \8812 [1839] = 1'h0; + \8812 [1840] = 1'h0; + \8812 [1841] = 1'h0; + \8812 [1842] = 1'h0; + \8812 [1843] = 1'h0; + \8812 [1844] = 1'h0; + \8812 [1845] = 1'h0; + \8812 [1846] = 1'h0; + \8812 [1847] = 1'h0; + \8812 [1848] = 1'h0; + \8812 [1849] = 1'h0; + \8812 [1850] = 1'h0; + \8812 [1851] = 1'h0; + \8812 [1852] = 1'h0; + \8812 [1853] = 1'h0; + \8812 [1854] = 1'h0; + \8812 [1855] = 1'h0; + \8812 [1856] = 1'h0; + \8812 [1857] = 1'h0; + \8812 [1858] = 1'h0; + \8812 [1859] = 1'h0; + \8812 [1860] = 1'h0; + \8812 [1861] = 1'h0; + \8812 [1862] = 1'h0; + \8812 [1863] = 1'h0; + \8812 [1864] = 1'h0; + \8812 [1865] = 1'h0; + \8812 [1866] = 1'h0; + \8812 [1867] = 1'h0; + \8812 [1868] = 1'h0; + \8812 [1869] = 1'h0; + \8812 [1870] = 1'h0; + \8812 [1871] = 1'h0; + \8812 [1872] = 1'h0; + \8812 [1873] = 1'h0; + \8812 [1874] = 1'h0; + \8812 [1875] = 1'h0; + \8812 [1876] = 1'h0; + \8812 [1877] = 1'h0; + \8812 [1878] = 1'h0; + \8812 [1879] = 1'h0; + \8812 [1880] = 1'h0; + \8812 [1881] = 1'h0; + \8812 [1882] = 1'h0; + \8812 [1883] = 1'h0; + \8812 [1884] = 1'h0; + \8812 [1885] = 1'h0; + \8812 [1886] = 1'h0; + \8812 [1887] = 1'h0; + \8812 [1888] = 1'h0; + \8812 [1889] = 1'h0; + \8812 [1890] = 1'h0; + \8812 [1891] = 1'h0; + \8812 [1892] = 1'h0; + \8812 [1893] = 1'h0; + \8812 [1894] = 1'h0; + \8812 [1895] = 1'h0; + \8812 [1896] = 1'h0; + \8812 [1897] = 1'h0; + \8812 [1898] = 1'h0; + \8812 [1899] = 1'h0; + \8812 [1900] = 1'h0; + \8812 [1901] = 1'h0; + \8812 [1902] = 1'h0; + \8812 [1903] = 1'h0; + \8812 [1904] = 1'h0; + \8812 [1905] = 1'h0; + \8812 [1906] = 1'h0; + \8812 [1907] = 1'h0; + \8812 [1908] = 1'h0; + \8812 [1909] = 1'h0; + \8812 [1910] = 1'h0; + \8812 [1911] = 1'h0; + \8812 [1912] = 1'h0; + \8812 [1913] = 1'h0; + \8812 [1914] = 1'h0; + \8812 [1915] = 1'h0; + \8812 [1916] = 1'h0; + \8812 [1917] = 1'h0; + \8812 [1918] = 1'h0; + \8812 [1919] = 1'h0; + \8812 [1920] = 1'h0; + \8812 [1921] = 1'h0; + \8812 [1922] = 1'h0; + \8812 [1923] = 1'h0; + \8812 [1924] = 1'h0; + \8812 [1925] = 1'h0; + \8812 [1926] = 1'h0; + \8812 [1927] = 1'h0; + \8812 [1928] = 1'h0; + \8812 [1929] = 1'h0; + \8812 [1930] = 1'h0; + \8812 [1931] = 1'h0; + \8812 [1932] = 1'h0; + \8812 [1933] = 1'h0; + \8812 [1934] = 1'h0; + \8812 [1935] = 1'h0; + \8812 [1936] = 1'h0; + \8812 [1937] = 1'h0; + \8812 [1938] = 1'h0; + \8812 [1939] = 1'h0; + \8812 [1940] = 1'h0; + \8812 [1941] = 1'h0; + \8812 [1942] = 1'h0; + \8812 [1943] = 1'h0; + \8812 [1944] = 1'h0; + \8812 [1945] = 1'h0; + \8812 [1946] = 1'h0; + \8812 [1947] = 1'h0; + \8812 [1948] = 1'h0; + \8812 [1949] = 1'h0; + \8812 [1950] = 1'h0; + \8812 [1951] = 1'h0; + \8812 [1952] = 1'h0; + \8812 [1953] = 1'h0; + \8812 [1954] = 1'h0; + \8812 [1955] = 1'h0; + \8812 [1956] = 1'h0; + \8812 [1957] = 1'h0; + \8812 [1958] = 1'h0; + \8812 [1959] = 1'h0; + \8812 [1960] = 1'h0; + \8812 [1961] = 1'h0; + \8812 [1962] = 1'h0; + \8812 [1963] = 1'h0; + \8812 [1964] = 1'h0; + \8812 [1965] = 1'h0; + \8812 [1966] = 1'h0; + \8812 [1967] = 1'h0; + \8812 [1968] = 1'h0; + \8812 [1969] = 1'h0; + \8812 [1970] = 1'h0; + \8812 [1971] = 1'h0; + \8812 [1972] = 1'h0; + \8812 [1973] = 1'h0; + \8812 [1974] = 1'h0; + \8812 [1975] = 1'h0; + \8812 [1976] = 1'h0; + \8812 [1977] = 1'h0; + \8812 [1978] = 1'h0; + \8812 [1979] = 1'h0; + \8812 [1980] = 1'h0; + \8812 [1981] = 1'h0; + \8812 [1982] = 1'h0; + \8812 [1983] = 1'h0; + \8812 [1984] = 1'h0; + \8812 [1985] = 1'h0; + \8812 [1986] = 1'h0; + \8812 [1987] = 1'h0; + \8812 [1988] = 1'h0; + \8812 [1989] = 1'h0; + \8812 [1990] = 1'h0; + \8812 [1991] = 1'h0; + \8812 [1992] = 1'h0; + \8812 [1993] = 1'h0; + \8812 [1994] = 1'h0; + \8812 [1995] = 1'h0; + \8812 [1996] = 1'h0; + \8812 [1997] = 1'h0; + \8812 [1998] = 1'h0; + \8812 [1999] = 1'h0; + \8812 [2000] = 1'h0; + \8812 [2001] = 1'h0; + \8812 [2002] = 1'h0; + \8812 [2003] = 1'h0; + \8812 [2004] = 1'h0; + \8812 [2005] = 1'h0; + \8812 [2006] = 1'h0; + \8812 [2007] = 1'h0; + \8812 [2008] = 1'h0; + \8812 [2009] = 1'h0; + \8812 [2010] = 1'h0; + \8812 [2011] = 1'h0; + \8812 [2012] = 1'h0; + \8812 [2013] = 1'h0; + \8812 [2014] = 1'h0; + \8812 [2015] = 1'h0; + \8812 [2016] = 1'h0; + \8812 [2017] = 1'h0; + \8812 [2018] = 1'h0; + \8812 [2019] = 1'h0; + \8812 [2020] = 1'h0; + \8812 [2021] = 1'h0; + \8812 [2022] = 1'h0; + \8812 [2023] = 1'h0; + \8812 [2024] = 1'h0; + \8812 [2025] = 1'h0; + \8812 [2026] = 1'h0; + \8812 [2027] = 1'h0; + \8812 [2028] = 1'h0; + \8812 [2029] = 1'h0; + \8812 [2030] = 1'h0; + \8812 [2031] = 1'h0; + \8812 [2032] = 1'h0; + \8812 [2033] = 1'h0; + \8812 [2034] = 1'h0; + \8812 [2035] = 1'h0; + \8812 [2036] = 1'h0; + \8812 [2037] = 1'h0; + \8812 [2038] = 1'h0; + \8812 [2039] = 1'h0; + \8812 [2040] = 1'h0; + \8812 [2041] = 1'h0; + \8812 [2042] = 1'h0; + \8812 [2043] = 1'h0; + \8812 [2044] = 1'h0; + \8812 [2045] = 1'h0; + \8812 [2046] = 1'h0; + \8812 [2047] = 1'h0; + end + assign _127_ = \8812 [_027_]; + reg [40:0] \8814 [63:0]; + initial begin + \8814 [0] = 41'h00000000000; + \8814 [1] = 41'h00000000000; + \8814 [2] = 41'h00000000000; + \8814 [3] = 41'h00000000000; + \8814 [4] = 41'h00000000000; + \8814 [5] = 41'h00000000000; + \8814 [6] = 41'h00000000000; + \8814 [7] = 41'h00000000000; + \8814 [8] = 41'h00000000000; + \8814 [9] = 41'h00000000000; + \8814 [10] = 41'h00000000000; + \8814 [11] = 41'h00000000000; + \8814 [12] = 41'h050000509ad; + \8814 [13] = 41'h00000000000; + \8814 [14] = 41'h040000509b1; + \8814 [15] = 41'h050000509b1; + \8814 [16] = 41'h00000000000; + \8814 [17] = 41'h00000000000; + \8814 [18] = 41'h00000000000; + \8814 [19] = 41'h00000000000; + \8814 [20] = 41'h00000000000; + \8814 [21] = 41'h00000000000; + \8814 [22] = 41'h00000000000; + \8814 [23] = 41'h00000000000; + \8814 [24] = 41'h00000000000; + \8814 [25] = 41'h00000000000; + \8814 [26] = 41'h00000000000; + \8814 [27] = 41'h00000000000; + \8814 [28] = 41'h00000000000; + \8814 [29] = 41'h00000000000; + \8814 [30] = 41'h00000000000; + \8814 [31] = 41'h00000000000; + \8814 [32] = 41'h00000000000; + \8814 [33] = 41'h00000000000; + \8814 [34] = 41'h00000000000; + \8814 [35] = 41'h00000000000; + \8814 [36] = 41'h00000000000; + \8814 [37] = 41'h00000000000; + \8814 [38] = 41'h00000000000; + \8814 [39] = 41'h00000000000; + \8814 [40] = 41'h00000000000; + \8814 [41] = 41'h00000000000; + \8814 [42] = 41'h00000000000; + \8814 [43] = 41'h00000000000; + \8814 [44] = 41'h00000000000; + \8814 [45] = 41'h00000000000; + \8814 [46] = 41'h00000000000; + \8814 [47] = 41'h00000000000; + \8814 [48] = 41'h00000000000; + \8814 [49] = 41'h00000000000; + \8814 [50] = 41'h00000000000; + \8814 [51] = 41'h00000000000; + \8814 [52] = 41'h00000000000; + \8814 [53] = 41'h00000000000; + \8814 [54] = 41'h00000000000; + \8814 [55] = 41'h00000000000; + \8814 [56] = 41'h00000000000; + \8814 [57] = 41'h00000000000; + \8814 [58] = 41'h00000000000; + \8814 [59] = 41'h00000000000; + \8814 [60] = 41'h00000000000; + \8814 [61] = 41'h00000000000; + \8814 [62] = 41'h00000000000; + \8814 [63] = 41'h00000000000; + end + assign _129_ = \8814 [_029_]; + reg [40:0] \8816 [1023:0]; + initial begin + \8816 [0] = 41'h00000000000; + \8816 [1] = 41'h00000000000; + \8816 [2] = 41'h00000000000; + \8816 [3] = 41'h00000000000; + \8816 [4] = 41'h00000000000; + \8816 [5] = 41'h00000000000; + \8816 [6] = 41'h00000000000; + \8816 [7] = 41'h00000000000; + \8816 [8] = 41'h00000000000; + \8816 [9] = 41'h00000000a52; + \8816 [10] = 41'h00040008a82; + \8816 [11] = 41'h00000000000; + \8816 [12] = 41'h00000000000; + \8816 [13] = 41'h00000000000; + \8816 [14] = 41'h00000000000; + \8816 [15] = 41'h00000000000; + \8816 [16] = 41'h00000240a75; + \8816 [17] = 41'h00000000000; + \8816 [18] = 41'h00000000000; + \8816 [19] = 41'h00000000000; + \8816 [20] = 41'h05800040955; + \8816 [21] = 41'h00000000000; + \8816 [22] = 41'h05000040955; + \8816 [23] = 41'h00000000000; + \8816 [24] = 41'h00000000000; + \8816 [25] = 41'h00000000000; + \8816 [26] = 41'h00000000000; + \8816 [27] = 41'h00000000000; + \8816 [28] = 41'h00000000000; + \8816 [29] = 41'h00000000000; + \8816 [30] = 41'h00000000000; + \8816 [31] = 41'h00000000000; + \8816 [32] = 41'h00000000000; + \8816 [33] = 41'h00000000000; + \8816 [34] = 41'h00000000000; + \8816 [35] = 41'h00000000000; + \8816 [36] = 41'h00000000000; + \8816 [37] = 41'h0403008805d; + \8816 [38] = 41'h00000000000; + \8816 [39] = 41'h00000000000; + \8816 [40] = 41'h00030020a8a; + \8816 [41] = 41'h1000000006d; + \8816 [42] = 41'h00010008a82; + \8816 [43] = 41'h00000000000; + \8816 [44] = 41'h00000000000; + \8816 [45] = 41'h00000000000; + \8816 [46] = 41'h00000000000; + \8816 [47] = 41'h00000000000; + \8816 [48] = 41'h00000240a75; + \8816 [49] = 41'h00000000000; + \8816 [50] = 41'h00000000000; + \8816 [51] = 41'h00000000000; + \8816 [52] = 41'h04800040955; + \8816 [53] = 41'h00000000000; + \8816 [54] = 41'h04000040955; + \8816 [55] = 41'h00000000000; + \8816 [56] = 41'h00000000000; + \8816 [57] = 41'h00000000000; + \8816 [58] = 41'h00000000000; + \8816 [59] = 41'h00000000000; + \8816 [60] = 41'h00000000000; + \8816 [61] = 41'h00000000000; + \8816 [62] = 41'h00000000000; + \8816 [63] = 41'h00000000000; + \8816 [64] = 41'h00000000000; + \8816 [65] = 41'h00000000000; + \8816 [66] = 41'h00000000000; + \8816 [67] = 41'h00000000000; + \8816 [68] = 41'h00000000000; + \8816 [69] = 41'h0401008805d; + \8816 [70] = 41'h00000000000; + \8816 [71] = 41'h00000000000; + \8816 [72] = 41'h00000000000; + \8816 [73] = 41'h00000000000; + \8816 [74] = 41'h00020008a82; + \8816 [75] = 41'h00000000000; + \8816 [76] = 41'h00000000000; + \8816 [77] = 41'h00000000000; + \8816 [78] = 41'h00000000000; + \8816 [79] = 41'h00000000000; + \8816 [80] = 41'h00000240a75; + \8816 [81] = 41'h00000000000; + \8816 [82] = 41'h00000000000; + \8816 [83] = 41'h00000000000; + \8816 [84] = 41'h05800040959; + \8816 [85] = 41'h00000000000; + \8816 [86] = 41'h05000040959; + \8816 [87] = 41'h00000000000; + \8816 [88] = 41'h00000000000; + \8816 [89] = 41'h00000000000; + \8816 [90] = 41'h00000000000; + \8816 [91] = 41'h00000000000; + \8816 [92] = 41'h00000000000; + \8816 [93] = 41'h00000000000; + \8816 [94] = 41'h00000000000; + \8816 [95] = 41'h00000000000; + \8816 [96] = 41'h00000000000; + \8816 [97] = 41'h00000000000; + \8816 [98] = 41'h00000000000; + \8816 [99] = 41'h00000000000; + \8816 [100] = 41'h00000000000; + \8816 [101] = 41'h0402008805d; + \8816 [102] = 41'h00000000000; + \8816 [103] = 41'h00000000000; + \8816 [104] = 41'h00000000000; + \8816 [105] = 41'h000a0008a82; + \8816 [106] = 41'h00030008a82; + \8816 [107] = 41'h00000000000; + \8816 [108] = 41'h00000000000; + \8816 [109] = 41'h00000000000; + \8816 [110] = 41'h00000000000; + \8816 [111] = 41'h00000000000; + \8816 [112] = 41'h00000240a75; + \8816 [113] = 41'h00000000000; + \8816 [114] = 41'h00000000000; + \8816 [115] = 41'h00000000000; + \8816 [116] = 41'h04800040959; + \8816 [117] = 41'h00000000000; + \8816 [118] = 41'h04000040959; + \8816 [119] = 41'h00000000000; + \8816 [120] = 41'h00000000000; + \8816 [121] = 41'h00000000000; + \8816 [122] = 41'h00000000000; + \8816 [123] = 41'h00000000000; + \8816 [124] = 41'h00000000000; + \8816 [125] = 41'h00000000000; + \8816 [126] = 41'h00000000000; + \8816 [127] = 41'h00000000000; + \8816 [128] = 41'h00000000000; + \8816 [129] = 41'h00000000000; + \8816 [130] = 41'h00000000000; + \8816 [131] = 41'h00000000000; + \8816 [132] = 41'h0400008d861; + \8816 [133] = 41'h0400008d861; + \8816 [134] = 41'h00000000000; + \8816 [135] = 41'h00000000000; + \8816 [136] = 41'h00030100a86; + \8816 [137] = 41'h00000000000; + \8816 [138] = 41'h00040040a7e; + \8816 [139] = 41'h00000000000; + \8816 [140] = 41'h00000000000; + \8816 [141] = 41'h00000000000; + \8816 [142] = 41'h00000000000; + \8816 [143] = 41'h00000000000; + \8816 [144] = 41'h00000240a75; + \8816 [145] = 41'h00000000000; + \8816 [146] = 41'h00000000000; + \8816 [147] = 41'h00000000000; + \8816 [148] = 41'h00000000000; + \8816 [149] = 41'h00000000000; + \8816 [150] = 41'h00000000000; + \8816 [151] = 41'h00000000000; + \8816 [152] = 41'h00000000000; + \8816 [153] = 41'h00000000000; + \8816 [154] = 41'h00000000000; + \8816 [155] = 41'h00000000000; + \8816 [156] = 41'h00000000000; + \8816 [157] = 41'h00000000000; + \8816 [158] = 41'h00000000000; + \8816 [159] = 41'h00000000000; + \8816 [160] = 41'h00000000000; + \8816 [161] = 41'h00000000000; + \8816 [162] = 41'h00000000000; + \8816 [163] = 41'h00000000000; + \8816 [164] = 41'h00000000000; + \8816 [165] = 41'h00000000000; + \8816 [166] = 41'h00000000000; + \8816 [167] = 41'h00000000000; + \8816 [168] = 41'h00130100a86; + \8816 [169] = 41'h10000000005; + \8816 [170] = 41'h00010040a7e; + \8816 [171] = 41'h00000000000; + \8816 [172] = 41'h00000000000; + \8816 [173] = 41'h00000000000; + \8816 [174] = 41'h00000000000; + \8816 [175] = 41'h00000000000; + \8816 [176] = 41'h00000240a75; + \8816 [177] = 41'h00000000000; + \8816 [178] = 41'h00000000000; + \8816 [179] = 41'h00000000000; + \8816 [180] = 41'h00000000000; + \8816 [181] = 41'h00000000000; + \8816 [182] = 41'h00000000000; + \8816 [183] = 41'h00000000000; + \8816 [184] = 41'h00000000000; + \8816 [185] = 41'h00000000000; + \8816 [186] = 41'h00000000000; + \8816 [187] = 41'h00000000000; + \8816 [188] = 41'h00000000000; + \8816 [189] = 41'h00000000000; + \8816 [190] = 41'h00000000000; + \8816 [191] = 41'h00000000000; + \8816 [192] = 41'h00000000000; + \8816 [193] = 41'h00000000000; + \8816 [194] = 41'h00000000000; + \8816 [195] = 41'h00000000000; + \8816 [196] = 41'h0500808d8e1; + \8816 [197] = 41'h0500808d8e1; + \8816 [198] = 41'h00000000000; + \8816 [199] = 41'h0580808e0e1; + \8816 [200] = 41'h00000000000; + \8816 [201] = 41'h00000000000; + \8816 [202] = 41'h00020040a7e; + \8816 [203] = 41'h00000000000; + \8816 [204] = 41'h00000000000; + \8816 [205] = 41'h00000000000; + \8816 [206] = 41'h00000000000; + \8816 [207] = 41'h00000000000; + \8816 [208] = 41'h00000240a75; + \8816 [209] = 41'h00000000000; + \8816 [210] = 41'h00000000000; + \8816 [211] = 41'h00000000000; + \8816 [212] = 41'h00000000000; + \8816 [213] = 41'h00000000000; + \8816 [214] = 41'h00000000000; + \8816 [215] = 41'h00000000000; + \8816 [216] = 41'h00000000000; + \8816 [217] = 41'h00000000000; + \8816 [218] = 41'h00000000000; + \8816 [219] = 41'h00000000000; + \8816 [220] = 41'h00000000000; + \8816 [221] = 41'h00000000000; + \8816 [222] = 41'h00000000000; + \8816 [223] = 41'h00000000000; + \8816 [224] = 41'h00000000000; + \8816 [225] = 41'h00000000000; + \8816 [226] = 41'h00000000000; + \8816 [227] = 41'h00000000000; + \8816 [228] = 41'h00000000000; + \8816 [229] = 41'h050080888e1; + \8816 [230] = 41'h00000000000; + \8816 [231] = 41'h058080888e1; + \8816 [232] = 41'h00000000000; + \8816 [233] = 41'h000a0040a7e; + \8816 [234] = 41'h00030040a7e; + \8816 [235] = 41'h00000000000; + \8816 [236] = 41'h00000000000; + \8816 [237] = 41'h00000000000; + \8816 [238] = 41'h00000000000; + \8816 [239] = 41'h00000000000; + \8816 [240] = 41'h00000240a75; + \8816 [241] = 41'h00000000000; + \8816 [242] = 41'h00000000000; + \8816 [243] = 41'h00000000000; + \8816 [244] = 41'h0180004099d; + \8816 [245] = 41'h04000040909; + \8816 [246] = 41'h0100004099d; + \8816 [247] = 41'h00000000000; + \8816 [248] = 41'h00000000000; + \8816 [249] = 41'h00000000000; + \8816 [250] = 41'h00000000000; + \8816 [251] = 41'h00000000000; + \8816 [252] = 41'h00000000000; + \8816 [253] = 41'h00000000000; + \8816 [254] = 41'h00000000000; + \8816 [255] = 41'h00000000000; + \8816 [256] = 41'h00000000000; + \8816 [257] = 41'h00000000000; + \8816 [258] = 41'h00000000000; + \8816 [259] = 41'h00000000000; + \8816 [260] = 41'h00000000000; + \8816 [261] = 41'h00000000000; + \8816 [262] = 41'h00000000000; + \8816 [263] = 41'h00000000000; + \8816 [264] = 41'h00240020a8a; + \8816 [265] = 41'h00000000000; + \8816 [266] = 41'h00000000000; + \8816 [267] = 41'h00000000000; + \8816 [268] = 41'h0000004003d; + \8816 [269] = 41'h00000000005; + \8816 [270] = 41'h00000000000; + \8816 [271] = 41'h00000000000; + \8816 [272] = 41'h00000240a75; + \8816 [273] = 41'h00000000000; + \8816 [274] = 41'h00000000000; + \8816 [275] = 41'h00000000000; + \8816 [276] = 41'h058000409ad; + \8816 [277] = 41'h0400a045109; + \8816 [278] = 41'h050000409ad; + \8816 [279] = 41'h0400a845109; + \8816 [280] = 41'h00000000000; + \8816 [281] = 41'h00000000000; + \8816 [282] = 41'h00000000000; + \8816 [283] = 41'h00000000000; + \8816 [284] = 41'h00000000000; + \8816 [285] = 41'h00000000000; + \8816 [286] = 41'h00000000000; + \8816 [287] = 41'h00000000000; + \8816 [288] = 41'h00000000000; + \8816 [289] = 41'h00000000000; + \8816 [290] = 41'h00000000000; + \8816 [291] = 41'h00000000000; + \8816 [292] = 41'h00000000000; + \8816 [293] = 41'h00000000000; + \8816 [294] = 41'h00000000000; + \8816 [295] = 41'h00000000000; + \8816 [296] = 41'h00040020a8a; + \8816 [297] = 41'h02420008a82; + \8816 [298] = 41'h00000000000; + \8816 [299] = 41'h00000000000; + \8816 [300] = 41'h00000000000; + \8816 [301] = 41'h00000000005; + \8816 [302] = 41'h00000000000; + \8816 [303] = 41'h00000000000; + \8816 [304] = 41'h00000240a75; + \8816 [305] = 41'h00000000000; + \8816 [306] = 41'h00000000000; + \8816 [307] = 41'h00000000000; + \8816 [308] = 41'h00000000000; + \8816 [309] = 41'h0400a040109; + \8816 [310] = 41'h00000000000; + \8816 [311] = 41'h0400a840109; + \8816 [312] = 41'h00000000000; + \8816 [313] = 41'h00000000000; + \8816 [314] = 41'h00000000000; + \8816 [315] = 41'h00000000000; + \8816 [316] = 41'h00000000000; + \8816 [317] = 41'h00000000000; + \8816 [318] = 41'h00000000000; + \8816 [319] = 41'h00000000000; + \8816 [320] = 41'h00000000000; + \8816 [321] = 41'h00000000000; + \8816 [322] = 41'h00000000000; + \8816 [323] = 41'h00000000000; + \8816 [324] = 41'h00000000000; + \8816 [325] = 41'h00000000000; + \8816 [326] = 41'h00000000000; + \8816 [327] = 41'h00000000000; + \8816 [328] = 41'h00a30020a8a; + \8816 [329] = 41'h02410008a82; + \8816 [330] = 41'h00000000000; + \8816 [331] = 41'h00000000000; + \8816 [332] = 41'h00000000000; + \8816 [333] = 41'h00000000005; + \8816 [334] = 41'h00000000000; + \8816 [335] = 41'h00000000000; + \8816 [336] = 41'h00000240a75; + \8816 [337] = 41'h00000000000; + \8816 [338] = 41'h00000000000; + \8816 [339] = 41'h00000000000; + \8816 [340] = 41'h00000000000; + \8816 [341] = 41'h00000000000; + \8816 [342] = 41'h00000000000; + \8816 [343] = 41'h00000000000; + \8816 [344] = 41'h00000000000; + \8816 [345] = 41'h00000000000; + \8816 [346] = 41'h00000000000; + \8816 [347] = 41'h00000000000; + \8816 [348] = 41'h00000000000; + \8816 [349] = 41'h00000000000; + \8816 [350] = 41'h00000000000; + \8816 [351] = 41'h00000000000; + \8816 [352] = 41'h00000000000; + \8816 [353] = 41'h00000000000; + \8816 [354] = 41'h00000000000; + \8816 [355] = 41'h00000000000; + \8816 [356] = 41'h00000000000; + \8816 [357] = 41'h00000000000; + \8816 [358] = 41'h00000000000; + \8816 [359] = 41'h00000000000; + \8816 [360] = 41'h00830020a8a; + \8816 [361] = 41'h000b0008a82; + \8816 [362] = 41'h00000000000; + \8816 [363] = 41'h000c0008a82; + \8816 [364] = 41'h00000000000; + \8816 [365] = 41'h00000000005; + \8816 [366] = 41'h00000000000; + \8816 [367] = 41'h00000000000; + \8816 [368] = 41'h00000240a75; + \8816 [369] = 41'h00000000000; + \8816 [370] = 41'h00000000000; + \8816 [371] = 41'h00000000000; + \8816 [372] = 41'h00000000000; + \8816 [373] = 41'h0400a040909; + \8816 [374] = 41'h00000000000; + \8816 [375] = 41'h0400a840909; + \8816 [376] = 41'h00000000000; + \8816 [377] = 41'h00000000000; + \8816 [378] = 41'h00000000000; + \8816 [379] = 41'h00000000000; + \8816 [380] = 41'h00000000000; + \8816 [381] = 41'h00000000000; + \8816 [382] = 41'h00000000000; + \8816 [383] = 41'h00000000000; + \8816 [384] = 41'h00000000000; + \8816 [385] = 41'h00000000000; + \8816 [386] = 41'h00000000000; + \8816 [387] = 41'h00000000000; + \8816 [388] = 41'h00000000000; + \8816 [389] = 41'h00000000000; + \8816 [390] = 41'h00000000000; + \8816 [391] = 41'h00000000000; + \8816 [392] = 41'h00240100a86; + \8816 [393] = 41'h00000000000; + \8816 [394] = 41'h00000000000; + \8816 [395] = 41'h00000000000; + \8816 [396] = 41'h00000000000; + \8816 [397] = 41'h00000000005; + \8816 [398] = 41'h00000000000; + \8816 [399] = 41'h00000000000; + \8816 [400] = 41'h00000240a75; + \8816 [401] = 41'h00000000000; + \8816 [402] = 41'h00000000000; + \8816 [403] = 41'h00000000000; + \8816 [404] = 41'h00000000000; + \8816 [405] = 41'h00000000000; + \8816 [406] = 41'h00000000000; + \8816 [407] = 41'h04006840109; + \8816 [408] = 41'h00000000000; + \8816 [409] = 41'h00000000000; + \8816 [410] = 41'h00000000000; + \8816 [411] = 41'h00000000000; + \8816 [412] = 41'h00000000000; + \8816 [413] = 41'h00000000000; + \8816 [414] = 41'h00000000000; + \8816 [415] = 41'h00000000000; + \8816 [416] = 41'h00000000000; + \8816 [417] = 41'h00000000000; + \8816 [418] = 41'h00000000000; + \8816 [419] = 41'h00000000000; + \8816 [420] = 41'h00000000000; + \8816 [421] = 41'h00000000000; + \8816 [422] = 41'h00000000000; + \8816 [423] = 41'h00000000000; + \8816 [424] = 41'h00040100a86; + \8816 [425] = 41'h10000000005; + \8816 [426] = 41'h00000000000; + \8816 [427] = 41'h00000000000; + \8816 [428] = 41'h00000000000; + \8816 [429] = 41'h00000000005; + \8816 [430] = 41'h00000000000; + \8816 [431] = 41'h00000000000; + \8816 [432] = 41'h00000240a75; + \8816 [433] = 41'h00000000000; + \8816 [434] = 41'h00000000000; + \8816 [435] = 41'h00000000000; + \8816 [436] = 41'h058000409b5; + \8816 [437] = 41'h00000000000; + \8816 [438] = 41'h050000409b1; + \8816 [439] = 41'h00000000000; + \8816 [440] = 41'h00000000000; + \8816 [441] = 41'h00000000000; + \8816 [442] = 41'h00000000000; + \8816 [443] = 41'h00000000000; + \8816 [444] = 41'h00000000000; + \8816 [445] = 41'h00000000000; + \8816 [446] = 41'h00000000000; + \8816 [447] = 41'h0000040008d; + \8816 [448] = 41'h00000000000; + \8816 [449] = 41'h00000000000; + \8816 [450] = 41'h00000000000; + \8816 [451] = 41'h00000000000; + \8816 [452] = 41'h00000000000; + \8816 [453] = 41'h04000088035; + \8816 [454] = 41'h00000000000; + \8816 [455] = 41'h00000000000; + \8816 [456] = 41'h00a30100a86; + \8816 [457] = 41'h00000000000; + \8816 [458] = 41'h00000000000; + \8816 [459] = 41'h00000000000; + \8816 [460] = 41'h00000000000; + \8816 [461] = 41'h00000000005; + \8816 [462] = 41'h00000000000; + \8816 [463] = 41'h00000000000; + \8816 [464] = 41'h00000240a75; + \8816 [465] = 41'h00000000000; + \8816 [466] = 41'h00000000000; + \8816 [467] = 41'h00000000000; + \8816 [468] = 41'h00000000000; + \8816 [469] = 41'h00000000000; + \8816 [470] = 41'h00000000000; + \8816 [471] = 41'h04006840909; + \8816 [472] = 41'h00000000000; + \8816 [473] = 41'h00000000000; + \8816 [474] = 41'h00000000000; + \8816 [475] = 41'h00000000000; + \8816 [476] = 41'h00000000000; + \8816 [477] = 41'h00000000000; + \8816 [478] = 41'h00000000000; + \8816 [479] = 41'h00000000000; + \8816 [480] = 41'h00000000000; + \8816 [481] = 41'h00000000000; + \8816 [482] = 41'h00000000000; + \8816 [483] = 41'h00000000000; + \8816 [484] = 41'h040000888e1; + \8816 [485] = 41'h04800088035; + \8816 [486] = 41'h00000000000; + \8816 [487] = 41'h048000888e1; + \8816 [488] = 41'h00830100a86; + \8816 [489] = 41'h000b0040a7e; + \8816 [490] = 41'h00000000000; + \8816 [491] = 41'h000c0040a7e; + \8816 [492] = 41'h00000000000; + \8816 [493] = 41'h00000000005; + \8816 [494] = 41'h00000000000; + \8816 [495] = 41'h00000000000; + \8816 [496] = 41'h00000240a75; + \8816 [497] = 41'h00000000000; + \8816 [498] = 41'h00000000000; + \8816 [499] = 41'h00000000000; + \8816 [500] = 41'h048000409b5; + \8816 [501] = 41'h04008040909; + \8816 [502] = 41'h040000409b1; + \8816 [503] = 41'h0400e840909; + \8816 [504] = 41'h00000000000; + \8816 [505] = 41'h00000000000; + \8816 [506] = 41'h00000000000; + \8816 [507] = 41'h00000000000; + \8816 [508] = 41'h00000000000; + \8816 [509] = 41'h00000000000; + \8816 [510] = 41'h00000000000; + \8816 [511] = 41'h00000000000; + \8816 [512] = 41'h00000000000; + \8816 [513] = 41'h00000000000; + \8816 [514] = 41'h00000000000; + \8816 [515] = 41'h00000488829; + \8816 [516] = 41'h00000000000; + \8816 [517] = 41'h000400880bd; + \8816 [518] = 41'h00000000000; + \8816 [519] = 41'h00000000000; + \8816 [520] = 41'h00000000000; + \8816 [521] = 41'h00000000000; + \8816 [522] = 41'h00000000000; + \8816 [523] = 41'h00000000000; + \8816 [524] = 41'h00000000000; + \8816 [525] = 41'h000000000ea; + \8816 [526] = 41'h00000000000; + \8816 [527] = 41'h00000000000; + \8816 [528] = 41'h00000240a75; + \8816 [529] = 41'h00000000000; + \8816 [530] = 41'h00000000000; + \8816 [531] = 41'h00000000000; + \8816 [532] = 41'h05800040955; + \8816 [533] = 41'h00000000000; + \8816 [534] = 41'h05000040955; + \8816 [535] = 41'h00000000000; + \8816 [536] = 41'h00000000000; + \8816 [537] = 41'h00000000000; + \8816 [538] = 41'h00000000000; + \8816 [539] = 41'h00000000000; + \8816 [540] = 41'h00000000000; + \8816 [541] = 41'h00000000000; + \8816 [542] = 41'h00000000000; + \8816 [543] = 41'h00000000000; + \8816 [544] = 41'h00000000000; + \8816 [545] = 41'h00000000000; + \8816 [546] = 41'h00000000000; + \8816 [547] = 41'h0400108880d; + \8816 [548] = 41'h00000000000; + \8816 [549] = 41'h00000000000; + \8816 [550] = 41'h00000000000; + \8816 [551] = 41'h00000000000; + \8816 [552] = 41'h00000000000; + \8816 [553] = 41'h00000000000; + \8816 [554] = 41'h00000000000; + \8816 [555] = 41'h00000000000; + \8816 [556] = 41'h000000c80a9; + \8816 [557] = 41'h00000000000; + \8816 [558] = 41'h00000000000; + \8816 [559] = 41'h00000000000; + \8816 [560] = 41'h00000240a75; + \8816 [561] = 41'h00000000000; + \8816 [562] = 41'h00000000000; + \8816 [563] = 41'h00000000000; + \8816 [564] = 41'h04800040955; + \8816 [565] = 41'h00000000000; + \8816 [566] = 41'h04000040955; + \8816 [567] = 41'h00000000000; + \8816 [568] = 41'h00000000000; + \8816 [569] = 41'h00000000000; + \8816 [570] = 41'h00000000000; + \8816 [571] = 41'h00000000000; + \8816 [572] = 41'h00000000000; + \8816 [573] = 41'h00000000000; + \8816 [574] = 41'h00000000000; + \8816 [575] = 41'h00000000000; + \8816 [576] = 41'h00000000000; + \8816 [577] = 41'h00000000000; + \8816 [578] = 41'h00000000000; + \8816 [579] = 41'h040000888b9; + \8816 [580] = 41'h00000000000; + \8816 [581] = 41'h00000000000; + \8816 [582] = 41'h00000000000; + \8816 [583] = 41'h00000000000; + \8816 [584] = 41'h00220008a82; + \8816 [585] = 41'h00000000000; + \8816 [586] = 41'h00000000000; + \8816 [587] = 41'h00000000000; + \8816 [588] = 41'h00000000000; + \8816 [589] = 41'h00000000000; + \8816 [590] = 41'h00000000000; + \8816 [591] = 41'h00000000000; + \8816 [592] = 41'h00000240a75; + \8816 [593] = 41'h00000000000; + \8816 [594] = 41'h00000000000; + \8816 [595] = 41'h00000000000; + \8816 [596] = 41'h05800040959; + \8816 [597] = 41'h00000000000; + \8816 [598] = 41'h05000040959; + \8816 [599] = 41'h00000000000; + \8816 [600] = 41'h00000000000; + \8816 [601] = 41'h00000000000; + \8816 [602] = 41'h00000000000; + \8816 [603] = 41'h00000000000; + \8816 [604] = 41'h00000000000; + \8816 [605] = 41'h00000000000; + \8816 [606] = 41'h00000000000; + \8816 [607] = 41'h00000000000; + \8816 [608] = 41'h00000000000; + \8816 [609] = 41'h00000000000; + \8816 [610] = 41'h00000000000; + \8816 [611] = 41'h040008888b9; + \8816 [612] = 41'h00000000000; + \8816 [613] = 41'h00000000000; + \8816 [614] = 41'h00000000000; + \8816 [615] = 41'h00000000000; + \8816 [616] = 41'h00020008a82; + \8816 [617] = 41'h00000000000; + \8816 [618] = 41'h00000000000; + \8816 [619] = 41'h00000000000; + \8816 [620] = 41'h00000000000; + \8816 [621] = 41'h00000000000; + \8816 [622] = 41'h00000000000; + \8816 [623] = 41'h00000000000; + \8816 [624] = 41'h00000240a75; + \8816 [625] = 41'h00000000000; + \8816 [626] = 41'h00000000000; + \8816 [627] = 41'h00000000000; + \8816 [628] = 41'h04800040959; + \8816 [629] = 41'h00000000000; + \8816 [630] = 41'h04000040959; + \8816 [631] = 41'h00000000000; + \8816 [632] = 41'h00000000000; + \8816 [633] = 41'h00000000000; + \8816 [634] = 41'h00000000000; + \8816 [635] = 41'h00000000000; + \8816 [636] = 41'h00000000000; + \8816 [637] = 41'h00000000000; + \8816 [638] = 41'h00000000000; + \8816 [639] = 41'h00000000000; + \8816 [640] = 41'h00000000000; + \8816 [641] = 41'h00000000000; + \8816 [642] = 41'h00000000000; + \8816 [643] = 41'h00000000000; + \8816 [644] = 41'h00000000000; + \8816 [645] = 41'h000300880bd; + \8816 [646] = 41'h00000000000; + \8816 [647] = 41'h00000000000; + \8816 [648] = 41'h00320040a7e; + \8816 [649] = 41'h00000000000; + \8816 [650] = 41'h00330040a7e; + \8816 [651] = 41'h00000000000; + \8816 [652] = 41'h00000000000; + \8816 [653] = 41'h00000000000; + \8816 [654] = 41'h00000000000; + \8816 [655] = 41'h00000000000; + \8816 [656] = 41'h00000240a75; + \8816 [657] = 41'h00000000000; + \8816 [658] = 41'h00000000000; + \8816 [659] = 41'h00000000000; + \8816 [660] = 41'h00000000000; + \8816 [661] = 41'h00000000000; + \8816 [662] = 41'h00000000000; + \8816 [663] = 41'h00000000000; + \8816 [664] = 41'h00000000000; + \8816 [665] = 41'h00000000000; + \8816 [666] = 41'h00000000000; + \8816 [667] = 41'h00000000000; + \8816 [668] = 41'h00000000000; + \8816 [669] = 41'h00000000000; + \8816 [670] = 41'h00000000000; + \8816 [671] = 41'h00000000000; + \8816 [672] = 41'h00000000000; + \8816 [673] = 41'h00000000000; + \8816 [674] = 41'h00000000000; + \8816 [675] = 41'h00000000000; + \8816 [676] = 41'h00000000000; + \8816 [677] = 41'h00000000000; + \8816 [678] = 41'h00000000000; + \8816 [679] = 41'h00000000000; + \8816 [680] = 41'h00120040a7e; + \8816 [681] = 41'h00000000000; + \8816 [682] = 41'h00130040a7e; + \8816 [683] = 41'h00000000000; + \8816 [684] = 41'h00000048399; + \8816 [685] = 41'h00000000000; + \8816 [686] = 41'h00000000000; + \8816 [687] = 41'h00000000000; + \8816 [688] = 41'h00000240a75; + \8816 [689] = 41'h00000000000; + \8816 [690] = 41'h00000000000; + \8816 [691] = 41'h00000000000; + \8816 [692] = 41'h00000000000; + \8816 [693] = 41'h00000000000; + \8816 [694] = 41'h00000000000; + \8816 [695] = 41'h00000000000; + \8816 [696] = 41'h00000000000; + \8816 [697] = 41'h00000000000; + \8816 [698] = 41'h00000000000; + \8816 [699] = 41'h00000000000; + \8816 [700] = 41'h00000000000; + \8816 [701] = 41'h00000000000; + \8816 [702] = 41'h00000000000; + \8816 [703] = 41'h00000000000; + \8816 [704] = 41'h00000000000; + \8816 [705] = 41'h00000000000; + \8816 [706] = 41'h00000000000; + \8816 [707] = 41'h040000888f1; + \8816 [708] = 41'h00000000000; + \8816 [709] = 41'h000000880f5; + \8816 [710] = 41'h00000000000; + \8816 [711] = 41'h00000000000; + \8816 [712] = 41'h00220040a7e; + \8816 [713] = 41'h00000000000; + \8816 [714] = 41'h00000000000; + \8816 [715] = 41'h00000000000; + \8816 [716] = 41'h00000000000; + \8816 [717] = 41'h000000088ea; + \8816 [718] = 41'h00000000000; + \8816 [719] = 41'h00000000000; + \8816 [720] = 41'h00000240a75; + \8816 [721] = 41'h00000000000; + \8816 [722] = 41'h00000000000; + \8816 [723] = 41'h00000000000; + \8816 [724] = 41'h00000000000; + \8816 [725] = 41'h00000000000; + \8816 [726] = 41'h00000000000; + \8816 [727] = 41'h00000000000; + \8816 [728] = 41'h00000000000; + \8816 [729] = 41'h00000000000; + \8816 [730] = 41'h00000000000; + \8816 [731] = 41'h00000000000; + \8816 [732] = 41'h00000000000; + \8816 [733] = 41'h00000000000; + \8816 [734] = 41'h00000000000; + \8816 [735] = 41'h00000000000; + \8816 [736] = 41'h00000000000; + \8816 [737] = 41'h00000000000; + \8816 [738] = 41'h00000000000; + \8816 [739] = 41'h040010888f1; + \8816 [740] = 41'h00000000000; + \8816 [741] = 41'h000008880f5; + \8816 [742] = 41'h00000000000; + \8816 [743] = 41'h00000000000; + \8816 [744] = 41'h00020040a7e; + \8816 [745] = 41'h10000000049; + \8816 [746] = 41'h00000000000; + \8816 [747] = 41'h00000000000; + \8816 [748] = 41'h00000000000; + \8816 [749] = 41'h000000088ea; + \8816 [750] = 41'h00000000000; + \8816 [751] = 41'h00000000000; + \8816 [752] = 41'h00000240a75; + \8816 [753] = 41'h00000000000; + \8816 [754] = 41'h00000000000; + \8816 [755] = 41'h00000000000; + \8816 [756] = 41'h0080004099d; + \8816 [757] = 41'h04000040909; + \8816 [758] = 41'h0000004099d; + \8816 [759] = 41'h00000000000; + \8816 [760] = 41'h00000000000; + \8816 [761] = 41'h00000000000; + \8816 [762] = 41'h00000000000; + \8816 [763] = 41'h00000000000; + \8816 [764] = 41'h00000000000; + \8816 [765] = 41'h00000000000; + \8816 [766] = 41'h00000000000; + \8816 [767] = 41'h00000000000; + \8816 [768] = 41'h00000000000; + \8816 [769] = 41'h00000000000; + \8816 [770] = 41'h00000000000; + \8816 [771] = 41'h00000088021; + \8816 [772] = 41'h00000000000; + \8816 [773] = 41'h00000000000; + \8816 [774] = 41'h00000000000; + \8816 [775] = 41'h00000000000; + \8816 [776] = 41'h00210008a82; + \8816 [777] = 41'h1000000004d; + \8816 [778] = 41'h00000000000; + \8816 [779] = 41'h00000000000; + \8816 [780] = 41'h00000000000; + \8816 [781] = 41'h00000000000; + \8816 [782] = 41'h00000000000; + \8816 [783] = 41'h00000000000; + \8816 [784] = 41'h00000240a75; + \8816 [785] = 41'h00000000000; + \8816 [786] = 41'h00000000000; + \8816 [787] = 41'h00000000000; + \8816 [788] = 41'h058000409ad; + \8816 [789] = 41'h0400a045109; + \8816 [790] = 41'h050000409ad; + \8816 [791] = 41'h0400a845109; + \8816 [792] = 41'h00000000000; + \8816 [793] = 41'h00000000000; + \8816 [794] = 41'h00000000000; + \8816 [795] = 41'h00000000000; + \8816 [796] = 41'h00000000000; + \8816 [797] = 41'h00000000000; + \8816 [798] = 41'h00000000000; + \8816 [799] = 41'h0000040092d; + \8816 [800] = 41'h00000000000; + \8816 [801] = 41'h00000000000; + \8816 [802] = 41'h00000000000; + \8816 [803] = 41'h00000000000; + \8816 [804] = 41'h00000000000; + \8816 [805] = 41'h00000000000; + \8816 [806] = 41'h00000000000; + \8816 [807] = 41'h00000000000; + \8816 [808] = 41'h00010008a82; + \8816 [809] = 41'h02440008a82; + \8816 [810] = 41'h00000000000; + \8816 [811] = 41'h00000000000; + \8816 [812] = 41'h00000000000; + \8816 [813] = 41'h00000000000; + \8816 [814] = 41'h00000000000; + \8816 [815] = 41'h00000000000; + \8816 [816] = 41'h00000240a75; + \8816 [817] = 41'h00000000000; + \8816 [818] = 41'h00000000000; + \8816 [819] = 41'h00000000000; + \8816 [820] = 41'h00000000000; + \8816 [821] = 41'h0400a040109; + \8816 [822] = 41'h00000000000; + \8816 [823] = 41'h0400a840109; + \8816 [824] = 41'h00000000000; + \8816 [825] = 41'h00000000000; + \8816 [826] = 41'h00000000000; + \8816 [827] = 41'h00000000000; + \8816 [828] = 41'h00000000000; + \8816 [829] = 41'h00000000000; + \8816 [830] = 41'h00000000000; + \8816 [831] = 41'h00000400931; + \8816 [832] = 41'h00000000000; + \8816 [833] = 41'h00000000000; + \8816 [834] = 41'h00000000000; + \8816 [835] = 41'h00000000000; + \8816 [836] = 41'h00000000000; + \8816 [837] = 41'h000400880c1; + \8816 [838] = 41'h00000000000; + \8816 [839] = 41'h00000000000; + \8816 [840] = 41'h00230008a82; + \8816 [841] = 41'h00000000000; + \8816 [842] = 41'h00240008a82; + \8816 [843] = 41'h00000000000; + \8816 [844] = 41'h00000000000; + \8816 [845] = 41'h100000080a5; + \8816 [846] = 41'h00000000000; + \8816 [847] = 41'h00000000000; + \8816 [848] = 41'h00000240a75; + \8816 [849] = 41'h00000000000; + \8816 [850] = 41'h00000000000; + \8816 [851] = 41'h00000000000; + \8816 [852] = 41'h00000000000; + \8816 [853] = 41'h0400c040909; + \8816 [854] = 41'h00000000000; + \8816 [855] = 41'h00000000000; + \8816 [856] = 41'h00000000000; + \8816 [857] = 41'h00000000000; + \8816 [858] = 41'h00000000000; + \8816 [859] = 41'h00000000000; + \8816 [860] = 41'h00000000000; + \8816 [861] = 41'h00000000000; + \8816 [862] = 41'h00000000000; + \8816 [863] = 41'h00000000000; + \8816 [864] = 41'h00000000000; + \8816 [865] = 41'h00000000000; + \8816 [866] = 41'h00000000000; + \8816 [867] = 41'h00000000000; + \8816 [868] = 41'h00000000000; + \8816 [869] = 41'h000300880c1; + \8816 [870] = 41'h00000000000; + \8816 [871] = 41'h00000000000; + \8816 [872] = 41'h00030008a82; + \8816 [873] = 41'h02430008a82; + \8816 [874] = 41'h00040008a82; + \8816 [875] = 41'h00000000000; + \8816 [876] = 41'h00000000000; + \8816 [877] = 41'h108000080a5; + \8816 [878] = 41'h00000000000; + \8816 [879] = 41'h000004080a1; + \8816 [880] = 41'h00000240a75; + \8816 [881] = 41'h00000000000; + \8816 [882] = 41'h00000000000; + \8816 [883] = 41'h00000000000; + \8816 [884] = 41'h00000000000; + \8816 [885] = 41'h0400a040909; + \8816 [886] = 41'h00000000000; + \8816 [887] = 41'h0400a840909; + \8816 [888] = 41'h00000000000; + \8816 [889] = 41'h00000000000; + \8816 [890] = 41'h00000000000; + \8816 [891] = 41'h00000000000; + \8816 [892] = 41'h00000000000; + \8816 [893] = 41'h00000000000; + \8816 [894] = 41'h00000000000; + \8816 [895] = 41'h000002400d9; + \8816 [896] = 41'h00000000000; + \8816 [897] = 41'h00000000000; + \8816 [898] = 41'h00000000000; + \8816 [899] = 41'h040010888b9; + \8816 [900] = 41'h00000000000; + \8816 [901] = 41'h000100880bd; + \8816 [902] = 41'h00000000000; + \8816 [903] = 41'h00000000000; + \8816 [904] = 41'h00210040a7e; + \8816 [905] = 41'h00000000000; + \8816 [906] = 41'h00000000000; + \8816 [907] = 41'h00420040a7e; + \8816 [908] = 41'h00000000000; + \8816 [909] = 41'h00000000000; + \8816 [910] = 41'h00000000000; + \8816 [911] = 41'h00000000000; + \8816 [912] = 41'h00000240a75; + \8816 [913] = 41'h00000000000; + \8816 [914] = 41'h00000000000; + \8816 [915] = 41'h00000000000; + \8816 [916] = 41'h00000000000; + \8816 [917] = 41'h00000000000; + \8816 [918] = 41'h00000000000; + \8816 [919] = 41'h04006840109; + \8816 [920] = 41'h00000000000; + \8816 [921] = 41'h00000000000; + \8816 [922] = 41'h00000000000; + \8816 [923] = 41'h00000000000; + \8816 [924] = 41'h00000000000; + \8816 [925] = 41'h00000000000; + \8816 [926] = 41'h00000000000; + \8816 [927] = 41'h00000000000; + \8816 [928] = 41'h00000000000; + \8816 [929] = 41'h00000000000; + \8816 [930] = 41'h00000000000; + \8816 [931] = 41'h00000000000; + \8816 [932] = 41'h00000000000; + \8816 [933] = 41'h00000000000; + \8816 [934] = 41'h00000000000; + \8816 [935] = 41'h00000000000; + \8816 [936] = 41'h00010040a7e; + \8816 [937] = 41'h10000000041; + \8816 [938] = 41'h00000000000; + \8816 [939] = 41'h00440040a7e; + \8816 [940] = 41'h10000040095; + \8816 [941] = 41'h00000000000; + \8816 [942] = 41'h00000000000; + \8816 [943] = 41'h00000000000; + \8816 [944] = 41'h00000240a75; + \8816 [945] = 41'h00000000000; + \8816 [946] = 41'h00000000000; + \8816 [947] = 41'h00000000000; + \8816 [948] = 41'h058000409b5; + \8816 [949] = 41'h000000409f9; + \8816 [950] = 41'h050000409b1; + \8816 [951] = 41'h00000000000; + \8816 [952] = 41'h00000000000; + \8816 [953] = 41'h00000000000; + \8816 [954] = 41'h00000000000; + \8816 [955] = 41'h100000009ed; + \8816 [956] = 41'h00000000000; + \8816 [957] = 41'h00000000000; + \8816 [958] = 41'h00000000000; + \8816 [959] = 41'h00000000000; + \8816 [960] = 41'h00000000000; + \8816 [961] = 41'h00000000000; + \8816 [962] = 41'h00000000000; + \8816 [963] = 41'h0400088880d; + \8816 [964] = 41'h00000000000; + \8816 [965] = 41'h04000088035; + \8816 [966] = 41'h00000000000; + \8816 [967] = 41'h00000000000; + \8816 [968] = 41'h00230040a7e; + \8816 [969] = 41'h10000000045; + \8816 [970] = 41'h00240040a7e; + \8816 [971] = 41'h00410040a7e; + \8816 [972] = 41'h00000000000; + \8816 [973] = 41'h00000000000; + \8816 [974] = 41'h00000000000; + \8816 [975] = 41'h00000000000; + \8816 [976] = 41'h00000240a75; + \8816 [977] = 41'h00000000000; + \8816 [978] = 41'h00000000000; + \8816 [979] = 41'h00000000000; + \8816 [980] = 41'h00000000000; + \8816 [981] = 41'h00000000000; + \8816 [982] = 41'h00000000000; + \8816 [983] = 41'h04006840909; + \8816 [984] = 41'h00000000000; + \8816 [985] = 41'h00000000000; + \8816 [986] = 41'h00000000000; + \8816 [987] = 41'h00000000000; + \8816 [988] = 41'h00000000000; + \8816 [989] = 41'h00000000000; + \8816 [990] = 41'h00000000000; + \8816 [991] = 41'h00006c00925; + \8816 [992] = 41'h00000000000; + \8816 [993] = 41'h10000000005; + \8816 [994] = 41'h00000000000; + \8816 [995] = 41'h0400008880d; + \8816 [996] = 41'h040000888dd; + \8816 [997] = 41'h04800088035; + \8816 [998] = 41'h00000000000; + \8816 [999] = 41'h048000888dd; + \8816 [1000] = 41'h00030040a7e; + \8816 [1001] = 41'h10000000071; + \8816 [1002] = 41'h00040040a7e; + \8816 [1003] = 41'h00430040a7e; + \8816 [1004] = 41'h00000240091; + \8816 [1005] = 41'h00000000000; + \8816 [1006] = 41'h00000000000; + \8816 [1007] = 41'h00000000000; + \8816 [1008] = 41'h10000240a75; + \8816 [1009] = 41'h00000000000; + \8816 [1010] = 41'h00000000000; + \8816 [1011] = 41'h00000000000; + \8816 [1012] = 41'h048000409b5; + \8816 [1013] = 41'h04008040909; + \8816 [1014] = 41'h040000409b1; + \8816 [1015] = 41'h0400e840909; + \8816 [1016] = 41'h00000000000; + \8816 [1017] = 41'h00000000000; + \8816 [1018] = 41'h00000000000; + \8816 [1019] = 41'h108000009ed; + \8816 [1020] = 41'h00000000000; + \8816 [1021] = 41'h00000000000; + \8816 [1022] = 41'h00000000000; + \8816 [1023] = 41'h01006c00925; + end + assign _131_ = \8816 [_031_]; + reg [0:0] \8818 [1023:0]; + initial begin + \8818 [0] = 1'h0; + \8818 [1] = 1'h0; + \8818 [2] = 1'h0; + \8818 [3] = 1'h0; + \8818 [4] = 1'h0; + \8818 [5] = 1'h0; + \8818 [6] = 1'h0; + \8818 [7] = 1'h0; + \8818 [8] = 1'h0; + \8818 [9] = 1'h0; + \8818 [10] = 1'h0; + \8818 [11] = 1'h0; + \8818 [12] = 1'h0; + \8818 [13] = 1'h0; + \8818 [14] = 1'h0; + \8818 [15] = 1'h0; + \8818 [16] = 1'h0; + \8818 [17] = 1'h0; + \8818 [18] = 1'h0; + \8818 [19] = 1'h0; + \8818 [20] = 1'h0; + \8818 [21] = 1'h0; + \8818 [22] = 1'h0; + \8818 [23] = 1'h0; + \8818 [24] = 1'h0; + \8818 [25] = 1'h0; + \8818 [26] = 1'h0; + \8818 [27] = 1'h0; + \8818 [28] = 1'h0; + \8818 [29] = 1'h0; + \8818 [30] = 1'h0; + \8818 [31] = 1'h0; + \8818 [32] = 1'h0; + \8818 [33] = 1'h0; + \8818 [34] = 1'h0; + \8818 [35] = 1'h0; + \8818 [36] = 1'h0; + \8818 [37] = 1'h0; + \8818 [38] = 1'h0; + \8818 [39] = 1'h0; + \8818 [40] = 1'h0; + \8818 [41] = 1'h0; + \8818 [42] = 1'h0; + \8818 [43] = 1'h0; + \8818 [44] = 1'h0; + \8818 [45] = 1'h0; + \8818 [46] = 1'h0; + \8818 [47] = 1'h0; + \8818 [48] = 1'h0; + \8818 [49] = 1'h0; + \8818 [50] = 1'h0; + \8818 [51] = 1'h0; + \8818 [52] = 1'h0; + \8818 [53] = 1'h0; + \8818 [54] = 1'h0; + \8818 [55] = 1'h0; + \8818 [56] = 1'h0; + \8818 [57] = 1'h0; + \8818 [58] = 1'h0; + \8818 [59] = 1'h0; + \8818 [60] = 1'h0; + \8818 [61] = 1'h0; + \8818 [62] = 1'h0; + \8818 [63] = 1'h0; + \8818 [64] = 1'h0; + \8818 [65] = 1'h0; + \8818 [66] = 1'h0; + \8818 [67] = 1'h0; + \8818 [68] = 1'h0; + \8818 [69] = 1'h0; + \8818 [70] = 1'h0; + \8818 [71] = 1'h0; + \8818 [72] = 1'h0; + \8818 [73] = 1'h0; + \8818 [74] = 1'h0; + \8818 [75] = 1'h0; + \8818 [76] = 1'h0; + \8818 [77] = 1'h0; + \8818 [78] = 1'h0; + \8818 [79] = 1'h0; + \8818 [80] = 1'h0; + \8818 [81] = 1'h0; + \8818 [82] = 1'h0; + \8818 [83] = 1'h0; + \8818 [84] = 1'h0; + \8818 [85] = 1'h0; + \8818 [86] = 1'h0; + \8818 [87] = 1'h0; + \8818 [88] = 1'h0; + \8818 [89] = 1'h0; + \8818 [90] = 1'h0; + \8818 [91] = 1'h0; + \8818 [92] = 1'h0; + \8818 [93] = 1'h0; + \8818 [94] = 1'h0; + \8818 [95] = 1'h0; + \8818 [96] = 1'h0; + \8818 [97] = 1'h0; + \8818 [98] = 1'h0; + \8818 [99] = 1'h0; + \8818 [100] = 1'h0; + \8818 [101] = 1'h0; + \8818 [102] = 1'h0; + \8818 [103] = 1'h0; + \8818 [104] = 1'h0; + \8818 [105] = 1'h0; + \8818 [106] = 1'h0; + \8818 [107] = 1'h0; + \8818 [108] = 1'h0; + \8818 [109] = 1'h0; + \8818 [110] = 1'h0; + \8818 [111] = 1'h0; + \8818 [112] = 1'h0; + \8818 [113] = 1'h0; + \8818 [114] = 1'h0; + \8818 [115] = 1'h0; + \8818 [116] = 1'h0; + \8818 [117] = 1'h0; + \8818 [118] = 1'h0; + \8818 [119] = 1'h0; + \8818 [120] = 1'h0; + \8818 [121] = 1'h0; + \8818 [122] = 1'h0; + \8818 [123] = 1'h0; + \8818 [124] = 1'h0; + \8818 [125] = 1'h0; + \8818 [126] = 1'h0; + \8818 [127] = 1'h0; + \8818 [128] = 1'h0; + \8818 [129] = 1'h0; + \8818 [130] = 1'h0; + \8818 [131] = 1'h0; + \8818 [132] = 1'h0; + \8818 [133] = 1'h0; + \8818 [134] = 1'h0; + \8818 [135] = 1'h0; + \8818 [136] = 1'h0; + \8818 [137] = 1'h0; + \8818 [138] = 1'h0; + \8818 [139] = 1'h0; + \8818 [140] = 1'h0; + \8818 [141] = 1'h0; + \8818 [142] = 1'h0; + \8818 [143] = 1'h0; + \8818 [144] = 1'h0; + \8818 [145] = 1'h0; + \8818 [146] = 1'h0; + \8818 [147] = 1'h0; + \8818 [148] = 1'h0; + \8818 [149] = 1'h0; + \8818 [150] = 1'h0; + \8818 [151] = 1'h0; + \8818 [152] = 1'h0; + \8818 [153] = 1'h0; + \8818 [154] = 1'h0; + \8818 [155] = 1'h0; + \8818 [156] = 1'h0; + \8818 [157] = 1'h0; + \8818 [158] = 1'h0; + \8818 [159] = 1'h0; + \8818 [160] = 1'h0; + \8818 [161] = 1'h0; + \8818 [162] = 1'h0; + \8818 [163] = 1'h0; + \8818 [164] = 1'h0; + \8818 [165] = 1'h0; + \8818 [166] = 1'h0; + \8818 [167] = 1'h0; + \8818 [168] = 1'h0; + \8818 [169] = 1'h0; + \8818 [170] = 1'h0; + \8818 [171] = 1'h0; + \8818 [172] = 1'h0; + \8818 [173] = 1'h0; + \8818 [174] = 1'h0; + \8818 [175] = 1'h0; + \8818 [176] = 1'h0; + \8818 [177] = 1'h0; + \8818 [178] = 1'h0; + \8818 [179] = 1'h0; + \8818 [180] = 1'h0; + \8818 [181] = 1'h0; + \8818 [182] = 1'h0; + \8818 [183] = 1'h0; + \8818 [184] = 1'h0; + \8818 [185] = 1'h0; + \8818 [186] = 1'h0; + \8818 [187] = 1'h0; + \8818 [188] = 1'h0; + \8818 [189] = 1'h0; + \8818 [190] = 1'h0; + \8818 [191] = 1'h0; + \8818 [192] = 1'h0; + \8818 [193] = 1'h0; + \8818 [194] = 1'h0; + \8818 [195] = 1'h0; + \8818 [196] = 1'h0; + \8818 [197] = 1'h0; + \8818 [198] = 1'h0; + \8818 [199] = 1'h0; + \8818 [200] = 1'h0; + \8818 [201] = 1'h0; + \8818 [202] = 1'h0; + \8818 [203] = 1'h0; + \8818 [204] = 1'h0; + \8818 [205] = 1'h0; + \8818 [206] = 1'h0; + \8818 [207] = 1'h0; + \8818 [208] = 1'h0; + \8818 [209] = 1'h0; + \8818 [210] = 1'h0; + \8818 [211] = 1'h0; + \8818 [212] = 1'h0; + \8818 [213] = 1'h0; + \8818 [214] = 1'h0; + \8818 [215] = 1'h0; + \8818 [216] = 1'h0; + \8818 [217] = 1'h0; + \8818 [218] = 1'h0; + \8818 [219] = 1'h0; + \8818 [220] = 1'h0; + \8818 [221] = 1'h0; + \8818 [222] = 1'h0; + \8818 [223] = 1'h0; + \8818 [224] = 1'h0; + \8818 [225] = 1'h0; + \8818 [226] = 1'h0; + \8818 [227] = 1'h0; + \8818 [228] = 1'h0; + \8818 [229] = 1'h0; + \8818 [230] = 1'h0; + \8818 [231] = 1'h0; + \8818 [232] = 1'h0; + \8818 [233] = 1'h0; + \8818 [234] = 1'h0; + \8818 [235] = 1'h0; + \8818 [236] = 1'h0; + \8818 [237] = 1'h0; + \8818 [238] = 1'h0; + \8818 [239] = 1'h0; + \8818 [240] = 1'h0; + \8818 [241] = 1'h0; + \8818 [242] = 1'h0; + \8818 [243] = 1'h0; + \8818 [244] = 1'h0; + \8818 [245] = 1'h0; + \8818 [246] = 1'h0; + \8818 [247] = 1'h0; + \8818 [248] = 1'h0; + \8818 [249] = 1'h0; + \8818 [250] = 1'h0; + \8818 [251] = 1'h0; + \8818 [252] = 1'h0; + \8818 [253] = 1'h0; + \8818 [254] = 1'h0; + \8818 [255] = 1'h0; + \8818 [256] = 1'h0; + \8818 [257] = 1'h0; + \8818 [258] = 1'h0; + \8818 [259] = 1'h0; + \8818 [260] = 1'h0; + \8818 [261] = 1'h0; + \8818 [262] = 1'h0; + \8818 [263] = 1'h0; + \8818 [264] = 1'h0; + \8818 [265] = 1'h0; + \8818 [266] = 1'h0; + \8818 [267] = 1'h0; + \8818 [268] = 1'h0; + \8818 [269] = 1'h0; + \8818 [270] = 1'h0; + \8818 [271] = 1'h0; + \8818 [272] = 1'h0; + \8818 [273] = 1'h0; + \8818 [274] = 1'h0; + \8818 [275] = 1'h0; + \8818 [276] = 1'h0; + \8818 [277] = 1'h0; + \8818 [278] = 1'h0; + \8818 [279] = 1'h0; + \8818 [280] = 1'h0; + \8818 [281] = 1'h0; + \8818 [282] = 1'h0; + \8818 [283] = 1'h0; + \8818 [284] = 1'h0; + \8818 [285] = 1'h0; + \8818 [286] = 1'h0; + \8818 [287] = 1'h0; + \8818 [288] = 1'h0; + \8818 [289] = 1'h0; + \8818 [290] = 1'h0; + \8818 [291] = 1'h0; + \8818 [292] = 1'h0; + \8818 [293] = 1'h0; + \8818 [294] = 1'h0; + \8818 [295] = 1'h0; + \8818 [296] = 1'h0; + \8818 [297] = 1'h0; + \8818 [298] = 1'h0; + \8818 [299] = 1'h0; + \8818 [300] = 1'h0; + \8818 [301] = 1'h0; + \8818 [302] = 1'h0; + \8818 [303] = 1'h0; + \8818 [304] = 1'h0; + \8818 [305] = 1'h0; + \8818 [306] = 1'h0; + \8818 [307] = 1'h0; + \8818 [308] = 1'h0; + \8818 [309] = 1'h0; + \8818 [310] = 1'h0; + \8818 [311] = 1'h0; + \8818 [312] = 1'h0; + \8818 [313] = 1'h0; + \8818 [314] = 1'h0; + \8818 [315] = 1'h1; + \8818 [316] = 1'h0; + \8818 [317] = 1'h0; + \8818 [318] = 1'h0; + \8818 [319] = 1'h0; + \8818 [320] = 1'h0; + \8818 [321] = 1'h0; + \8818 [322] = 1'h0; + \8818 [323] = 1'h0; + \8818 [324] = 1'h0; + \8818 [325] = 1'h0; + \8818 [326] = 1'h0; + \8818 [327] = 1'h0; + \8818 [328] = 1'h0; + \8818 [329] = 1'h0; + \8818 [330] = 1'h0; + \8818 [331] = 1'h0; + \8818 [332] = 1'h0; + \8818 [333] = 1'h0; + \8818 [334] = 1'h0; + \8818 [335] = 1'h0; + \8818 [336] = 1'h0; + \8818 [337] = 1'h0; + \8818 [338] = 1'h0; + \8818 [339] = 1'h0; + \8818 [340] = 1'h0; + \8818 [341] = 1'h0; + \8818 [342] = 1'h0; + \8818 [343] = 1'h0; + \8818 [344] = 1'h0; + \8818 [345] = 1'h0; + \8818 [346] = 1'h0; + \8818 [347] = 1'h0; + \8818 [348] = 1'h0; + \8818 [349] = 1'h0; + \8818 [350] = 1'h0; + \8818 [351] = 1'h0; + \8818 [352] = 1'h0; + \8818 [353] = 1'h0; + \8818 [354] = 1'h0; + \8818 [355] = 1'h0; + \8818 [356] = 1'h0; + \8818 [357] = 1'h0; + \8818 [358] = 1'h0; + \8818 [359] = 1'h0; + \8818 [360] = 1'h0; + \8818 [361] = 1'h0; + \8818 [362] = 1'h0; + \8818 [363] = 1'h0; + \8818 [364] = 1'h0; + \8818 [365] = 1'h0; + \8818 [366] = 1'h0; + \8818 [367] = 1'h0; + \8818 [368] = 1'h0; + \8818 [369] = 1'h0; + \8818 [370] = 1'h0; + \8818 [371] = 1'h0; + \8818 [372] = 1'h0; + \8818 [373] = 1'h0; + \8818 [374] = 1'h0; + \8818 [375] = 1'h0; + \8818 [376] = 1'h0; + \8818 [377] = 1'h0; + \8818 [378] = 1'h0; + \8818 [379] = 1'h0; + \8818 [380] = 1'h0; + \8818 [381] = 1'h0; + \8818 [382] = 1'h0; + \8818 [383] = 1'h0; + \8818 [384] = 1'h0; + \8818 [385] = 1'h0; + \8818 [386] = 1'h0; + \8818 [387] = 1'h0; + \8818 [388] = 1'h0; + \8818 [389] = 1'h0; + \8818 [390] = 1'h0; + \8818 [391] = 1'h0; + \8818 [392] = 1'h0; + \8818 [393] = 1'h0; + \8818 [394] = 1'h0; + \8818 [395] = 1'h0; + \8818 [396] = 1'h0; + \8818 [397] = 1'h0; + \8818 [398] = 1'h0; + \8818 [399] = 1'h0; + \8818 [400] = 1'h0; + \8818 [401] = 1'h0; + \8818 [402] = 1'h0; + \8818 [403] = 1'h0; + \8818 [404] = 1'h0; + \8818 [405] = 1'h0; + \8818 [406] = 1'h0; + \8818 [407] = 1'h0; + \8818 [408] = 1'h0; + \8818 [409] = 1'h0; + \8818 [410] = 1'h0; + \8818 [411] = 1'h0; + \8818 [412] = 1'h0; + \8818 [413] = 1'h0; + \8818 [414] = 1'h0; + \8818 [415] = 1'h0; + \8818 [416] = 1'h0; + \8818 [417] = 1'h0; + \8818 [418] = 1'h0; + \8818 [419] = 1'h0; + \8818 [420] = 1'h0; + \8818 [421] = 1'h0; + \8818 [422] = 1'h0; + \8818 [423] = 1'h0; + \8818 [424] = 1'h0; + \8818 [425] = 1'h0; + \8818 [426] = 1'h0; + \8818 [427] = 1'h0; + \8818 [428] = 1'h0; + \8818 [429] = 1'h0; + \8818 [430] = 1'h0; + \8818 [431] = 1'h0; + \8818 [432] = 1'h0; + \8818 [433] = 1'h0; + \8818 [434] = 1'h0; + \8818 [435] = 1'h0; + \8818 [436] = 1'h0; + \8818 [437] = 1'h0; + \8818 [438] = 1'h0; + \8818 [439] = 1'h0; + \8818 [440] = 1'h0; + \8818 [441] = 1'h0; + \8818 [442] = 1'h0; + \8818 [443] = 1'h0; + \8818 [444] = 1'h0; + \8818 [445] = 1'h0; + \8818 [446] = 1'h0; + \8818 [447] = 1'h1; + \8818 [448] = 1'h0; + \8818 [449] = 1'h0; + \8818 [450] = 1'h0; + \8818 [451] = 1'h0; + \8818 [452] = 1'h0; + \8818 [453] = 1'h0; + \8818 [454] = 1'h0; + \8818 [455] = 1'h0; + \8818 [456] = 1'h0; + \8818 [457] = 1'h0; + \8818 [458] = 1'h0; + \8818 [459] = 1'h0; + \8818 [460] = 1'h0; + \8818 [461] = 1'h0; + \8818 [462] = 1'h0; + \8818 [463] = 1'h0; + \8818 [464] = 1'h0; + \8818 [465] = 1'h0; + \8818 [466] = 1'h0; + \8818 [467] = 1'h0; + \8818 [468] = 1'h0; + \8818 [469] = 1'h0; + \8818 [470] = 1'h0; + \8818 [471] = 1'h0; + \8818 [472] = 1'h0; + \8818 [473] = 1'h0; + \8818 [474] = 1'h0; + \8818 [475] = 1'h0; + \8818 [476] = 1'h0; + \8818 [477] = 1'h0; + \8818 [478] = 1'h0; + \8818 [479] = 1'h0; + \8818 [480] = 1'h0; + \8818 [481] = 1'h0; + \8818 [482] = 1'h0; + \8818 [483] = 1'h0; + \8818 [484] = 1'h0; + \8818 [485] = 1'h0; + \8818 [486] = 1'h0; + \8818 [487] = 1'h0; + \8818 [488] = 1'h0; + \8818 [489] = 1'h0; + \8818 [490] = 1'h0; + \8818 [491] = 1'h0; + \8818 [492] = 1'h0; + \8818 [493] = 1'h0; + \8818 [494] = 1'h1; + \8818 [495] = 1'h1; + \8818 [496] = 1'h0; + \8818 [497] = 1'h0; + \8818 [498] = 1'h0; + \8818 [499] = 1'h0; + \8818 [500] = 1'h0; + \8818 [501] = 1'h0; + \8818 [502] = 1'h0; + \8818 [503] = 1'h0; + \8818 [504] = 1'h0; + \8818 [505] = 1'h0; + \8818 [506] = 1'h0; + \8818 [507] = 1'h0; + \8818 [508] = 1'h0; + \8818 [509] = 1'h0; + \8818 [510] = 1'h0; + \8818 [511] = 1'h1; + \8818 [512] = 1'h0; + \8818 [513] = 1'h0; + \8818 [514] = 1'h0; + \8818 [515] = 1'h0; + \8818 [516] = 1'h0; + \8818 [517] = 1'h0; + \8818 [518] = 1'h0; + \8818 [519] = 1'h0; + \8818 [520] = 1'h0; + \8818 [521] = 1'h0; + \8818 [522] = 1'h0; + \8818 [523] = 1'h0; + \8818 [524] = 1'h0; + \8818 [525] = 1'h0; + \8818 [526] = 1'h0; + \8818 [527] = 1'h0; + \8818 [528] = 1'h0; + \8818 [529] = 1'h0; + \8818 [530] = 1'h0; + \8818 [531] = 1'h0; + \8818 [532] = 1'h0; + \8818 [533] = 1'h0; + \8818 [534] = 1'h0; + \8818 [535] = 1'h0; + \8818 [536] = 1'h0; + \8818 [537] = 1'h0; + \8818 [538] = 1'h0; + \8818 [539] = 1'h0; + \8818 [540] = 1'h0; + \8818 [541] = 1'h0; + \8818 [542] = 1'h0; + \8818 [543] = 1'h0; + \8818 [544] = 1'h0; + \8818 [545] = 1'h0; + \8818 [546] = 1'h0; + \8818 [547] = 1'h0; + \8818 [548] = 1'h0; + \8818 [549] = 1'h0; + \8818 [550] = 1'h0; + \8818 [551] = 1'h0; + \8818 [552] = 1'h0; + \8818 [553] = 1'h0; + \8818 [554] = 1'h0; + \8818 [555] = 1'h0; + \8818 [556] = 1'h0; + \8818 [557] = 1'h0; + \8818 [558] = 1'h0; + \8818 [559] = 1'h0; + \8818 [560] = 1'h0; + \8818 [561] = 1'h0; + \8818 [562] = 1'h0; + \8818 [563] = 1'h0; + \8818 [564] = 1'h0; + \8818 [565] = 1'h0; + \8818 [566] = 1'h0; + \8818 [567] = 1'h0; + \8818 [568] = 1'h0; + \8818 [569] = 1'h0; + \8818 [570] = 1'h0; + \8818 [571] = 1'h0; + \8818 [572] = 1'h0; + \8818 [573] = 1'h0; + \8818 [574] = 1'h0; + \8818 [575] = 1'h0; + \8818 [576] = 1'h0; + \8818 [577] = 1'h0; + \8818 [578] = 1'h0; + \8818 [579] = 1'h0; + \8818 [580] = 1'h0; + \8818 [581] = 1'h0; + \8818 [582] = 1'h0; + \8818 [583] = 1'h0; + \8818 [584] = 1'h0; + \8818 [585] = 1'h0; + \8818 [586] = 1'h0; + \8818 [587] = 1'h0; + \8818 [588] = 1'h0; + \8818 [589] = 1'h0; + \8818 [590] = 1'h0; + \8818 [591] = 1'h0; + \8818 [592] = 1'h0; + \8818 [593] = 1'h0; + \8818 [594] = 1'h0; + \8818 [595] = 1'h0; + \8818 [596] = 1'h0; + \8818 [597] = 1'h0; + \8818 [598] = 1'h0; + \8818 [599] = 1'h0; + \8818 [600] = 1'h0; + \8818 [601] = 1'h0; + \8818 [602] = 1'h0; + \8818 [603] = 1'h0; + \8818 [604] = 1'h0; + \8818 [605] = 1'h0; + \8818 [606] = 1'h0; + \8818 [607] = 1'h0; + \8818 [608] = 1'h0; + \8818 [609] = 1'h0; + \8818 [610] = 1'h0; + \8818 [611] = 1'h0; + \8818 [612] = 1'h0; + \8818 [613] = 1'h0; + \8818 [614] = 1'h0; + \8818 [615] = 1'h0; + \8818 [616] = 1'h0; + \8818 [617] = 1'h0; + \8818 [618] = 1'h0; + \8818 [619] = 1'h0; + \8818 [620] = 1'h0; + \8818 [621] = 1'h0; + \8818 [622] = 1'h0; + \8818 [623] = 1'h0; + \8818 [624] = 1'h0; + \8818 [625] = 1'h0; + \8818 [626] = 1'h0; + \8818 [627] = 1'h0; + \8818 [628] = 1'h0; + \8818 [629] = 1'h0; + \8818 [630] = 1'h0; + \8818 [631] = 1'h0; + \8818 [632] = 1'h0; + \8818 [633] = 1'h0; + \8818 [634] = 1'h0; + \8818 [635] = 1'h0; + \8818 [636] = 1'h0; + \8818 [637] = 1'h0; + \8818 [638] = 1'h0; + \8818 [639] = 1'h0; + \8818 [640] = 1'h0; + \8818 [641] = 1'h0; + \8818 [642] = 1'h0; + \8818 [643] = 1'h0; + \8818 [644] = 1'h0; + \8818 [645] = 1'h0; + \8818 [646] = 1'h0; + \8818 [647] = 1'h0; + \8818 [648] = 1'h0; + \8818 [649] = 1'h0; + \8818 [650] = 1'h0; + \8818 [651] = 1'h0; + \8818 [652] = 1'h0; + \8818 [653] = 1'h0; + \8818 [654] = 1'h0; + \8818 [655] = 1'h0; + \8818 [656] = 1'h0; + \8818 [657] = 1'h0; + \8818 [658] = 1'h0; + \8818 [659] = 1'h0; + \8818 [660] = 1'h0; + \8818 [661] = 1'h0; + \8818 [662] = 1'h0; + \8818 [663] = 1'h0; + \8818 [664] = 1'h0; + \8818 [665] = 1'h0; + \8818 [666] = 1'h0; + \8818 [667] = 1'h0; + \8818 [668] = 1'h0; + \8818 [669] = 1'h0; + \8818 [670] = 1'h0; + \8818 [671] = 1'h0; + \8818 [672] = 1'h0; + \8818 [673] = 1'h0; + \8818 [674] = 1'h0; + \8818 [675] = 1'h0; + \8818 [676] = 1'h0; + \8818 [677] = 1'h0; + \8818 [678] = 1'h0; + \8818 [679] = 1'h0; + \8818 [680] = 1'h0; + \8818 [681] = 1'h0; + \8818 [682] = 1'h0; + \8818 [683] = 1'h0; + \8818 [684] = 1'h0; + \8818 [685] = 1'h0; + \8818 [686] = 1'h0; + \8818 [687] = 1'h0; + \8818 [688] = 1'h0; + \8818 [689] = 1'h0; + \8818 [690] = 1'h0; + \8818 [691] = 1'h0; + \8818 [692] = 1'h0; + \8818 [693] = 1'h0; + \8818 [694] = 1'h0; + \8818 [695] = 1'h0; + \8818 [696] = 1'h0; + \8818 [697] = 1'h0; + \8818 [698] = 1'h0; + \8818 [699] = 1'h0; + \8818 [700] = 1'h0; + \8818 [701] = 1'h0; + \8818 [702] = 1'h0; + \8818 [703] = 1'h0; + \8818 [704] = 1'h0; + \8818 [705] = 1'h0; + \8818 [706] = 1'h0; + \8818 [707] = 1'h0; + \8818 [708] = 1'h0; + \8818 [709] = 1'h0; + \8818 [710] = 1'h0; + \8818 [711] = 1'h0; + \8818 [712] = 1'h0; + \8818 [713] = 1'h0; + \8818 [714] = 1'h0; + \8818 [715] = 1'h0; + \8818 [716] = 1'h0; + \8818 [717] = 1'h0; + \8818 [718] = 1'h0; + \8818 [719] = 1'h0; + \8818 [720] = 1'h0; + \8818 [721] = 1'h0; + \8818 [722] = 1'h0; + \8818 [723] = 1'h0; + \8818 [724] = 1'h0; + \8818 [725] = 1'h0; + \8818 [726] = 1'h0; + \8818 [727] = 1'h0; + \8818 [728] = 1'h0; + \8818 [729] = 1'h0; + \8818 [730] = 1'h0; + \8818 [731] = 1'h0; + \8818 [732] = 1'h0; + \8818 [733] = 1'h0; + \8818 [734] = 1'h0; + \8818 [735] = 1'h0; + \8818 [736] = 1'h0; + \8818 [737] = 1'h0; + \8818 [738] = 1'h0; + \8818 [739] = 1'h0; + \8818 [740] = 1'h0; + \8818 [741] = 1'h0; + \8818 [742] = 1'h0; + \8818 [743] = 1'h0; + \8818 [744] = 1'h0; + \8818 [745] = 1'h0; + \8818 [746] = 1'h0; + \8818 [747] = 1'h0; + \8818 [748] = 1'h0; + \8818 [749] = 1'h0; + \8818 [750] = 1'h0; + \8818 [751] = 1'h0; + \8818 [752] = 1'h0; + \8818 [753] = 1'h0; + \8818 [754] = 1'h0; + \8818 [755] = 1'h0; + \8818 [756] = 1'h0; + \8818 [757] = 1'h0; + \8818 [758] = 1'h0; + \8818 [759] = 1'h0; + \8818 [760] = 1'h0; + \8818 [761] = 1'h0; + \8818 [762] = 1'h0; + \8818 [763] = 1'h0; + \8818 [764] = 1'h0; + \8818 [765] = 1'h0; + \8818 [766] = 1'h0; + \8818 [767] = 1'h0; + \8818 [768] = 1'h0; + \8818 [769] = 1'h0; + \8818 [770] = 1'h0; + \8818 [771] = 1'h0; + \8818 [772] = 1'h0; + \8818 [773] = 1'h0; + \8818 [774] = 1'h0; + \8818 [775] = 1'h0; + \8818 [776] = 1'h0; + \8818 [777] = 1'h0; + \8818 [778] = 1'h0; + \8818 [779] = 1'h0; + \8818 [780] = 1'h0; + \8818 [781] = 1'h0; + \8818 [782] = 1'h0; + \8818 [783] = 1'h0; + \8818 [784] = 1'h0; + \8818 [785] = 1'h0; + \8818 [786] = 1'h0; + \8818 [787] = 1'h0; + \8818 [788] = 1'h0; + \8818 [789] = 1'h0; + \8818 [790] = 1'h0; + \8818 [791] = 1'h0; + \8818 [792] = 1'h0; + \8818 [793] = 1'h0; + \8818 [794] = 1'h0; + \8818 [795] = 1'h0; + \8818 [796] = 1'h0; + \8818 [797] = 1'h0; + \8818 [798] = 1'h0; + \8818 [799] = 1'h0; + \8818 [800] = 1'h0; + \8818 [801] = 1'h0; + \8818 [802] = 1'h0; + \8818 [803] = 1'h0; + \8818 [804] = 1'h0; + \8818 [805] = 1'h0; + \8818 [806] = 1'h0; + \8818 [807] = 1'h0; + \8818 [808] = 1'h0; + \8818 [809] = 1'h0; + \8818 [810] = 1'h0; + \8818 [811] = 1'h0; + \8818 [812] = 1'h0; + \8818 [813] = 1'h0; + \8818 [814] = 1'h0; + \8818 [815] = 1'h0; + \8818 [816] = 1'h0; + \8818 [817] = 1'h0; + \8818 [818] = 1'h0; + \8818 [819] = 1'h0; + \8818 [820] = 1'h0; + \8818 [821] = 1'h0; + \8818 [822] = 1'h0; + \8818 [823] = 1'h0; + \8818 [824] = 1'h0; + \8818 [825] = 1'h0; + \8818 [826] = 1'h0; + \8818 [827] = 1'h0; + \8818 [828] = 1'h0; + \8818 [829] = 1'h0; + \8818 [830] = 1'h0; + \8818 [831] = 1'h0; + \8818 [832] = 1'h0; + \8818 [833] = 1'h0; + \8818 [834] = 1'h0; + \8818 [835] = 1'h0; + \8818 [836] = 1'h0; + \8818 [837] = 1'h0; + \8818 [838] = 1'h0; + \8818 [839] = 1'h0; + \8818 [840] = 1'h0; + \8818 [841] = 1'h0; + \8818 [842] = 1'h0; + \8818 [843] = 1'h0; + \8818 [844] = 1'h0; + \8818 [845] = 1'h0; + \8818 [846] = 1'h0; + \8818 [847] = 1'h0; + \8818 [848] = 1'h0; + \8818 [849] = 1'h0; + \8818 [850] = 1'h0; + \8818 [851] = 1'h0; + \8818 [852] = 1'h0; + \8818 [853] = 1'h0; + \8818 [854] = 1'h0; + \8818 [855] = 1'h0; + \8818 [856] = 1'h0; + \8818 [857] = 1'h0; + \8818 [858] = 1'h0; + \8818 [859] = 1'h0; + \8818 [860] = 1'h0; + \8818 [861] = 1'h0; + \8818 [862] = 1'h0; + \8818 [863] = 1'h0; + \8818 [864] = 1'h0; + \8818 [865] = 1'h0; + \8818 [866] = 1'h0; + \8818 [867] = 1'h0; + \8818 [868] = 1'h0; + \8818 [869] = 1'h0; + \8818 [870] = 1'h0; + \8818 [871] = 1'h0; + \8818 [872] = 1'h0; + \8818 [873] = 1'h0; + \8818 [874] = 1'h0; + \8818 [875] = 1'h0; + \8818 [876] = 1'h0; + \8818 [877] = 1'h0; + \8818 [878] = 1'h0; + \8818 [879] = 1'h0; + \8818 [880] = 1'h0; + \8818 [881] = 1'h0; + \8818 [882] = 1'h0; + \8818 [883] = 1'h0; + \8818 [884] = 1'h0; + \8818 [885] = 1'h0; + \8818 [886] = 1'h0; + \8818 [887] = 1'h0; + \8818 [888] = 1'h0; + \8818 [889] = 1'h0; + \8818 [890] = 1'h0; + \8818 [891] = 1'h0; + \8818 [892] = 1'h0; + \8818 [893] = 1'h0; + \8818 [894] = 1'h0; + \8818 [895] = 1'h0; + \8818 [896] = 1'h0; + \8818 [897] = 1'h0; + \8818 [898] = 1'h0; + \8818 [899] = 1'h0; + \8818 [900] = 1'h0; + \8818 [901] = 1'h0; + \8818 [902] = 1'h0; + \8818 [903] = 1'h0; + \8818 [904] = 1'h0; + \8818 [905] = 1'h0; + \8818 [906] = 1'h0; + \8818 [907] = 1'h0; + \8818 [908] = 1'h0; + \8818 [909] = 1'h0; + \8818 [910] = 1'h0; + \8818 [911] = 1'h0; + \8818 [912] = 1'h0; + \8818 [913] = 1'h0; + \8818 [914] = 1'h0; + \8818 [915] = 1'h0; + \8818 [916] = 1'h0; + \8818 [917] = 1'h0; + \8818 [918] = 1'h0; + \8818 [919] = 1'h0; + \8818 [920] = 1'h0; + \8818 [921] = 1'h0; + \8818 [922] = 1'h0; + \8818 [923] = 1'h0; + \8818 [924] = 1'h0; + \8818 [925] = 1'h0; + \8818 [926] = 1'h0; + \8818 [927] = 1'h0; + \8818 [928] = 1'h1; + \8818 [929] = 1'h1; + \8818 [930] = 1'h1; + \8818 [931] = 1'h1; + \8818 [932] = 1'h1; + \8818 [933] = 1'h1; + \8818 [934] = 1'h1; + \8818 [935] = 1'h1; + \8818 [936] = 1'h1; + \8818 [937] = 1'h1; + \8818 [938] = 1'h1; + \8818 [939] = 1'h1; + \8818 [940] = 1'h1; + \8818 [941] = 1'h1; + \8818 [942] = 1'h1; + \8818 [943] = 1'h1; + \8818 [944] = 1'h1; + \8818 [945] = 1'h1; + \8818 [946] = 1'h1; + \8818 [947] = 1'h1; + \8818 [948] = 1'h1; + \8818 [949] = 1'h1; + \8818 [950] = 1'h1; + \8818 [951] = 1'h1; + \8818 [952] = 1'h1; + \8818 [953] = 1'h1; + \8818 [954] = 1'h1; + \8818 [955] = 1'h1; + \8818 [956] = 1'h1; + \8818 [957] = 1'h1; + \8818 [958] = 1'h1; + \8818 [959] = 1'h1; + \8818 [960] = 1'h0; + \8818 [961] = 1'h0; + \8818 [962] = 1'h0; + \8818 [963] = 1'h0; + \8818 [964] = 1'h0; + \8818 [965] = 1'h0; + \8818 [966] = 1'h0; + \8818 [967] = 1'h0; + \8818 [968] = 1'h0; + \8818 [969] = 1'h0; + \8818 [970] = 1'h0; + \8818 [971] = 1'h0; + \8818 [972] = 1'h0; + \8818 [973] = 1'h0; + \8818 [974] = 1'h0; + \8818 [975] = 1'h0; + \8818 [976] = 1'h0; + \8818 [977] = 1'h1; + \8818 [978] = 1'h1; + \8818 [979] = 1'h0; + \8818 [980] = 1'h0; + \8818 [981] = 1'h0; + \8818 [982] = 1'h1; + \8818 [983] = 1'h1; + \8818 [984] = 1'h1; + \8818 [985] = 1'h1; + \8818 [986] = 1'h0; + \8818 [987] = 1'h1; + \8818 [988] = 1'h0; + \8818 [989] = 1'h0; + \8818 [990] = 1'h1; + \8818 [991] = 1'h0; + \8818 [992] = 1'h0; + \8818 [993] = 1'h0; + \8818 [994] = 1'h0; + \8818 [995] = 1'h0; + \8818 [996] = 1'h0; + \8818 [997] = 1'h0; + \8818 [998] = 1'h0; + \8818 [999] = 1'h0; + \8818 [1000] = 1'h0; + \8818 [1001] = 1'h0; + \8818 [1002] = 1'h0; + \8818 [1003] = 1'h0; + \8818 [1004] = 1'h0; + \8818 [1005] = 1'h0; + \8818 [1006] = 1'h0; + \8818 [1007] = 1'h0; + \8818 [1008] = 1'h0; + \8818 [1009] = 1'h0; + \8818 [1010] = 1'h0; + \8818 [1011] = 1'h0; + \8818 [1012] = 1'h0; + \8818 [1013] = 1'h0; + \8818 [1014] = 1'h0; + \8818 [1015] = 1'h0; + \8818 [1016] = 1'h0; + \8818 [1017] = 1'h0; + \8818 [1018] = 1'h0; + \8818 [1019] = 1'h0; + \8818 [1020] = 1'h0; + \8818 [1021] = 1'h0; + \8818 [1022] = 1'h0; + \8818 [1023] = 1'h1; + end + assign _133_ = \8818 [_074_]; + reg [40:0] \8820 [7:0]; + initial begin + \8820 [0] = 41'h10000000079; + \8820 [1] = 41'h00000000000; + \8820 [2] = 41'h00000006bc5; + \8820 [3] = 41'h080002c6b1d; + \8820 [4] = 41'h00000000000; + \8820 [5] = 41'h00000000000; + \8820 [6] = 41'h04000044409; + \8820 [7] = 41'h00000600039; + end + assign _135_ = \8820 [_076_]; + reg [40:0] \8822 [15:0]; + initial begin + \8822 [0] = 41'h00000000000; + \8822 [1] = 41'h00000000000; + \8822 [2] = 41'h00000000000; + \8822 [3] = 41'h00000000000; + \8822 [4] = 41'h00000000000; + \8822 [5] = 41'h00000000000; + \8822 [6] = 41'h040000888d1; + \8822 [7] = 41'h040000888cd; + \8822 [8] = 41'h0400008d9c9; + \8822 [9] = 41'h0400008d9c9; + \8822 [10] = 41'h0400008d8c9; + \8822 [11] = 41'h0400008d8c9; + \8822 [12] = 41'h0400008d8d1; + \8822 [13] = 41'h0400008d8d1; + \8822 [14] = 41'h0400008d8cd; + \8822 [15] = 41'h0400008d8cd; + end + assign _137_ = \8822 [_086_]; + reg [40:0] \8824 [3:0]; + initial begin + \8824 [0] = 41'h00000000000; + \8824 [1] = 41'h00130044a7e; + \8824 [2] = 41'h00240044a7e; + \8824 [3] = 41'h00040044a7e; + end + assign _139_ = \8824 [_092_]; + reg [40:0] \8826 [3:0]; + initial begin + \8826 [0] = 41'h00000000000; + \8826 [1] = 41'h00000000000; + \8826 [2] = 41'h0024000ca82; + \8826 [3] = 41'h0004000ca82; + end + assign _141_ = \8826 [_095_]; + assign _000_ = ~ stall_in; + assign _001_ = _000_ ? s : r; + assign _002_ = _000_ ? 1'h0 : s[0]; + assign _003_ = _000_ ? si : ri; + assign _004_ = _110_ & r[0]; + assign _005_ = _004_ & stall_in; + assign _006_ = ~ r[0]; + assign _007_ = ~ stall_in; + assign _008_ = _006_ | _007_; + assign _009_ = _008_ ? { _101_, _100_, _099_, _098_, f_in[98:3], f_in[1], _110_ } : r; + assign _010_ = _008_ ? { _105_, _111_ } : ri; + assign _011_ = s[0] ? _001_ : _009_; + assign _012_ = s[0] ? _002_ : _005_; + assign _013_ = s[0] ? s[153:1] : { _101_, _100_, _099_, _098_, f_in[98:3], f_in[1] }; + assign _014_ = s[0] ? _003_ : _010_; + assign _015_ = s[0] ? si : { _105_, _111_ }; + assign _016_ = flush_in ? 1'h0 : _011_[0]; + assign _017_ = flush_in ? r[153:1] : _011_[153:1]; + assign _018_ = flush_in ? 1'h0 : _012_; + assign _019_ = flush_in ? s[153:1] : _013_; + assign _020_ = flush_in ? ri : _014_; + assign _021_ = flush_in ? si : _015_; + assign _022_ = rst ? 154'h000000000000000000000000000000000000000 : { _017_, _016_ }; + assign _023_ = rst ? 154'h000000000000000000000000000000000000000 : { _019_, _018_ }; + assign _024_ = rst ? 44'h00000000000 : _020_; + assign _025_ = rst ? 44'h00000000000 : _021_; + always @(posedge clk) + r <= _022_; + always @(posedge clk) + s <= _023_; + always @(posedge clk) + ri <= _024_; + always @(posedge clk) + si <= _025_; + assign _026_ = 6'h3f - f_in[98:93]; + assign _027_ = 11'h7ff - { f_in[72:67], f_in[77:73] }; + assign _028_ = ~ _127_; + assign _029_ = 6'h3f - f_in[72:67]; + assign _030_ = { 25'h0000000, f_in[98:93] } == 31'h00000004; + assign _031_ = 10'h3ff - f_in[77:68]; + assign _032_ = { f_in[82:78], f_in[87:83] } == 10'h008; + assign _033_ = { f_in[82:78], f_in[87:83] } == 10'h009; + assign _034_ = { f_in[82:78], f_in[87:83] } == 10'h01a; + assign _035_ = { f_in[82:78], f_in[87:83] } == 10'h01b; + assign _036_ = { f_in[82:78], f_in[87:83] } == 10'h13a; + assign _037_ = { f_in[82:78], f_in[87:83] } == 10'h13b; + assign _038_ = { f_in[82:78], f_in[87:83] } == 10'h110; + assign _039_ = { f_in[82:78], f_in[87:83] } == 10'h111; + assign _040_ = { f_in[82:78], f_in[87:83] } == 10'h112; + assign _041_ = { f_in[82:78], f_in[87:83] } == 10'h113; + assign _042_ = { f_in[82:78], f_in[87:83] } == 10'h103; + assign _043_ = _041_ | _042_; + assign _044_ = { f_in[82:78], f_in[87:83] } == 10'h130; + assign _045_ = { f_in[82:78], f_in[87:83] } == 10'h131; + assign _046_ = { f_in[82:78], f_in[87:83] } == 10'h001; + assign _047_ = { f_in[82:78], f_in[87:83] } == 10'h32f; + function [0:0] \8524 ; + input [0:0] a; + input [13:0] b; + input [13:0] s; + (* parallel_case *) + casez (s) + 14'b?????????????1: + \8524 = b[0:0]; + 14'b????????????1?: + \8524 = b[1:1]; + 14'b???????????1??: + \8524 = b[2:2]; + 14'b??????????1???: + \8524 = b[3:3]; + 14'b?????????1????: + \8524 = b[4:4]; + 14'b????????1?????: + \8524 = b[5:5]; + 14'b???????1??????: + \8524 = b[6:6]; + 14'b??????1???????: + \8524 = b[7:7]; + 14'b?????1????????: + \8524 = b[8:8]; + 14'b????1?????????: + \8524 = b[9:9]; + 14'b???1??????????: + \8524 = b[10:10]; + 14'b??1???????????: + \8524 = b[11:11]; + 14'b?1????????????: + \8524 = b[12:12]; + 14'b1?????????????: + \8524 = b[13:13]; + default: + \8524 = a; + endcase + endfunction + assign _048_ = \8524 (1'h0, 14'h3fff, { _047_, _046_, _045_, _044_, _043_, _040_, _039_, _038_, _037_, _036_, _035_, _034_, _033_, _032_ }); + function [6:0] \8530 ; + input [6:0] a; + input [97:0] b; + input [13:0] s; + (* parallel_case *) + casez (s) + 14'b?????????????1: + \8530 = b[6:0]; + 14'b????????????1?: + \8530 = b[13:7]; + 14'b???????????1??: + \8530 = b[20:14]; + 14'b??????????1???: + \8530 = b[27:21]; + 14'b?????????1????: + \8530 = b[34:28]; + 14'b????????1?????: + \8530 = b[41:35]; + 14'b???????1??????: + \8530 = b[48:42]; + 14'b??????1???????: + \8530 = b[55:49]; + 14'b?????1????????: + \8530 = b[62:56]; + 14'b????1?????????: + \8530 = b[69:63]; + 14'b???1??????????: + \8530 = b[76:70]; + 14'b??1???????????: + \8530 = b[83:77]; + 14'b?1????????????: + \8530 = b[90:84]; + 14'b1?????????????: + \8530 = b[97:91]; + default: + \8530 = a; + endcase + endfunction + assign _049_ = \8530 (7'h00, 98'hxxxxxxxxxxxxxxxxxxxxxxxxx, { _047_, _046_, _045_, _044_, _043_, _040_, _039_, _038_, _037_, _036_, _035_, _034_, _033_, _032_ }); + function [4:0] \8546 ; + input [4:0] a; + input [69:0] b; + input [13:0] s; + (* parallel_case *) + casez (s) + 14'b?????????????1: + \8546 = b[4:0]; + 14'b????????????1?: + \8546 = b[9:5]; + 14'b???????????1??: + \8546 = b[14:10]; + 14'b??????????1???: + \8546 = b[19:15]; + 14'b?????????1????: + \8546 = b[24:20]; + 14'b????????1?????: + \8546 = b[29:25]; + 14'b???????1??????: + \8546 = b[34:30]; + 14'b??????1???????: + \8546 = b[39:35]; + 14'b?????1????????: + \8546 = b[44:40]; + 14'b????1?????????: + \8546 = b[49:45]; + 14'b???1??????????: + \8546 = b[54:50]; + 14'b??1???????????: + \8546 = b[59:55]; + 14'b?1????????????: + \8546 = b[64:60]; + 14'b1?????????????: + \8546 = b[69:65]; + default: + \8546 = a; + endcase + endfunction + assign _050_ = \8546 (5'h00, 70'h1ac5a928398a418820, { _047_, _046_, _045_, _044_, _043_, _040_, _039_, _038_, _037_, _036_, _035_, _034_, _033_, _032_ }); + assign _051_ = _048_ ? _050_ : 5'hxx; + assign _052_ = _048_ ? { 2'h1, _051_ } : _049_; + assign _053_ = f_in[77:68] & 10'h37f; + assign _054_ = _053_ == 10'h153; + assign _055_ = ~ _052_[5]; + assign _056_ = { f_in[82:78], f_in[87:83] } == 10'h013; + assign _057_ = { f_in[82:78], f_in[87:83] } == 10'h012; + assign _058_ = _056_ | _057_; + assign _059_ = { f_in[82:78], f_in[87:83] } == 10'h030; + assign _060_ = _058_ | _059_; + assign _061_ = { f_in[82:78], f_in[87:83] } == 10'h2d0; + assign _062_ = _060_ | _061_; + function [1:0] \8589 ; + input [1:0] a; + input [1:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \8589 = b[1:0]; + default: + \8589 = a; + endcase + endfunction + assign _063_ = \8589 (2'h0, 2'h2, _062_); + function [0:0] \8591 ; + input [0:0] a; + input [0:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \8591 = b[0:0]; + default: + \8591 = a; + endcase + endfunction + assign _064_ = \8591 (1'h0, 1'h1, _062_); + assign _065_ = _055_ ? _063_ : 2'h0; + assign _066_ = _055_ ? { 1'h1, _064_ } : 2'h0; + assign _067_ = _054_ ? _065_ : 2'h0; + assign _068_ = _054_ ? _066_ : 2'h0; + assign _069_ = { 25'h0000000, f_in[98:93] } == 31'h0000001f; + assign _070_ = ~ f_in[90]; + assign _071_ = _070_ ? 7'h21 : 7'h00; + assign _072_ = { 25'h0000000, f_in[98:93] } == 31'h00000010; + assign _073_ = { 25'h0000000, f_in[98:93] } == 31'h00000012; + assign _074_ = 10'h3ff - { f_in[72:68], f_in[77:73] }; + assign _075_ = ~ _133_; + assign _076_ = 3'h7 - { f_in[72], f_in[70:69] }; + assign _077_ = ~ f_in[69]; + assign _078_ = ~ f_in[90]; + assign _079_ = _078_ ? 7'h21 : 7'h00; + assign _080_ = ~ f_in[77]; + assign _081_ = ~ f_in[73]; + assign _082_ = _081_ ? 7'h21 : 7'h2d; + assign _083_ = _080_ ? 7'h20 : _082_; + assign _084_ = _077_ ? { _083_, _079_ } : 14'h1123; + assign _085_ = { 25'h0000000, f_in[98:93] } == 31'h00000013; + assign _086_ = 4'hf - f_in[71:68]; + assign _087_ = { 25'h0000000, f_in[98:93] } == 31'h0000001e; + assign _088_ = f_in[98:67] & 32'd4294967295; + assign _089_ = _088_ == 32'd1610612736; + assign _090_ = _089_ ? 42'h0000000000b : 42'h00000000000; + assign _091_ = { 25'h0000000, f_in[98:93] } == 31'h00000030; + assign _092_ = 2'h3 - f_in[68:67]; + assign _093_ = { 25'h0000000, f_in[98:93] } == 31'h0000003a; + assign _094_ = { 25'h0000000, f_in[98:93] } == 31'h0000003b; + assign _095_ = 2'h3 - f_in[68:67]; + assign _096_ = { 25'h0000000, f_in[98:93] } == 31'h0000003e; + assign _097_ = { 25'h0000000, f_in[98:93] } == 31'h0000003f; + function [6:0] \8714 ; + input [6:0] a; + input [76:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8714 = b[6:0]; + 11'b?????????1?: + \8714 = b[13:7]; + 11'b????????1??: + \8714 = b[20:14]; + 11'b???????1???: + \8714 = b[27:21]; + 11'b??????1????: + \8714 = b[34:28]; + 11'b?????1?????: + \8714 = b[41:35]; + 11'b????1??????: + \8714 = b[48:42]; + 11'b???1???????: + \8714 = b[55:49]; + 11'b??1????????: + \8714 = b[62:56]; + 11'b?1?????????: + \8714 = b[69:63]; + 11'b1??????????: + \8714 = b[76:70]; + default: + \8714 = a; + endcase + endfunction + assign _098_ = \8714 (7'h00, { 42'h00000000000, _084_[6:0], 7'h00, _071_, _052_, 7'h00 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [6:0] \8717 ; + input [6:0] a; + input [76:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8717 = b[6:0]; + 11'b?????????1?: + \8717 = b[13:7]; + 11'b????????1??: + \8717 = b[20:14]; + 11'b???????1???: + \8717 = b[27:21]; + 11'b??????1????: + \8717 = b[34:28]; + 11'b?????1?????: + \8717 = b[41:35]; + 11'b????1??????: + \8717 = b[48:42]; + 11'b???1???????: + \8717 = b[55:49]; + 11'b??1????????: + \8717 = b[62:56]; + 11'b?1?????????: + \8717 = b[69:63]; + 11'b1??????????: + \8717 = b[76:70]; + default: + \8717 = a; + endcase + endfunction + assign _099_ = \8717 (7'h00, { 42'h00000000000, _084_[13:7], 28'h0000000 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [40:0] \8718 ; + input [40:0] a; + input [450:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8718 = b[40:0]; + 11'b?????????1?: + \8718 = b[81:41]; + 11'b????????1??: + \8718 = b[122:82]; + 11'b???????1???: + \8718 = b[163:123]; + 11'b??????1????: + \8718 = b[204:164]; + 11'b?????1?????: + \8718 = b[245:205]; + 11'b????1??????: + \8718 = b[286:246]; + 11'b???1???????: + \8718 = b[327:287]; + 11'b??1????????: + \8718 = b[368:328]; + 11'b?1?????????: + \8718 = b[409:369]; + 11'b1??????????: + \8718 = b[450:410]; + default: + \8718 = a; + endcase + endfunction + assign _100_ = \8718 (_125_, { _125_, _141_, _125_, _139_, _125_, _137_, _135_, _125_, _125_, _131_, _129_ }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [0:0] \8719 ; + input [0:0] a; + input [10:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8719 = b[0:0]; + 11'b?????????1?: + \8719 = b[1:1]; + 11'b????????1??: + \8719 = b[2:2]; + 11'b???????1???: + \8719 = b[3:3]; + 11'b??????1????: + \8719 = b[4:4]; + 11'b?????1?????: + \8719 = b[5:5]; + 11'b????1??????: + \8719 = b[6:6]; + 11'b???1???????: + \8719 = b[7:7]; + 11'b??1????????: + \8719 = b[8:8]; + 11'b?1?????????: + \8719 = b[9:9]; + 11'b1??????????: + \8719 = b[10:10]; + default: + \8719 = a; + endcase + endfunction + assign _101_ = \8719 (1'h0, { 8'h01, f_in[82], 2'h0 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [0:0] \8723 ; + input [0:0] a; + input [10:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8723 = b[0:0]; + 11'b?????????1?: + \8723 = b[1:1]; + 11'b????????1??: + \8723 = b[2:2]; + 11'b???????1???: + \8723 = b[3:3]; + 11'b??????1????: + \8723 = b[4:4]; + 11'b?????1?????: + \8723 = b[5:5]; + 11'b????1??????: + \8723 = b[6:6]; + 11'b???1???????: + \8723 = b[7:7]; + 11'b??1????????: + \8723 = b[8:8]; + 11'b?1?????????: + \8723 = b[9:9]; + 11'b1??????????: + \8723 = b[10:10]; + default: + \8723 = a; + endcase + endfunction + assign _102_ = \8723 (1'h0, { 4'h0, _090_[0], 1'h0, _075_, 3'h0, _028_ }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [1:0] \8726 ; + input [1:0] a; + input [21:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8726 = b[1:0]; + 11'b?????????1?: + \8726 = b[3:2]; + 11'b????????1??: + \8726 = b[5:4]; + 11'b???????1???: + \8726 = b[7:6]; + 11'b??????1????: + \8726 = b[9:8]; + 11'b?????1?????: + \8726 = b[11:10]; + 11'b????1??????: + \8726 = b[13:12]; + 11'b???1???????: + \8726 = b[15:14]; + 11'b??1????????: + \8726 = b[17:16]; + 11'b?1?????????: + \8726 = b[19:18]; + 11'b1??????????: + \8726 = b[21:20]; + default: + \8726 = a; + endcase + endfunction + assign _103_ = \8726 (2'h0, { 8'h00, _090_[2:1], 8'h00, _067_, 2'h0 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [38:0] \8729 ; + input [38:0] a; + input [428:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8729 = b[38:0]; + 11'b?????????1?: + \8729 = b[77:39]; + 11'b????????1??: + \8729 = b[116:78]; + 11'b???????1???: + \8729 = b[155:117]; + 11'b??????1????: + \8729 = b[194:156]; + 11'b?????1?????: + \8729 = b[233:195]; + 11'b????1??????: + \8729 = b[272:234]; + 11'b???1???????: + \8729 = b[311:273]; + 11'b??1????????: + \8729 = b[350:312]; + 11'b?1?????????: + \8729 = b[389:351]; + 11'b1??????????: + \8729 = b[428:390]; + default: + \8729 = a; + endcase + endfunction + assign _104_ = \8729 (39'h0000000000, { 156'h000000000000000000000000000000000000000, _090_[41:3], 234'h00000000000000000000000000000000000000000000000000000000000 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [1:0] \8731 ; + input [1:0] a; + input [21:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8731 = b[1:0]; + 11'b?????????1?: + \8731 = b[3:2]; + 11'b????????1??: + \8731 = b[5:4]; + 11'b???????1???: + \8731 = b[7:6]; + 11'b??????1????: + \8731 = b[9:8]; + 11'b?????1?????: + \8731 = b[11:10]; + 11'b????1??????: + \8731 = b[13:12]; + 11'b???1???????: + \8731 = b[15:14]; + 11'b??1????????: + \8731 = b[17:16]; + 11'b?1?????????: + \8731 = b[19:18]; + 11'b1??????????: + \8731 = b[21:20]; + default: + \8731 = a; + endcase + endfunction + assign _105_ = \8731 (2'h0, { 18'h00000, _068_, 2'h0 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + function [23:0] \8740 ; + input [23:0] a; + input [263:0] b; + input [10:0] s; + (* parallel_case *) + casez (s) + 11'b??????????1: + \8740 = b[23:0]; + 11'b?????????1?: + \8740 = b[47:24]; + 11'b????????1??: + \8740 = b[71:48]; + 11'b???????1???: + \8740 = b[95:72]; + 11'b??????1????: + \8740 = b[119:96]; + 11'b?????1?????: + \8740 = b[143:120]; + 11'b????1??????: + \8740 = b[167:144]; + 11'b???1???????: + \8740 = b[191:168]; + 11'b??1????????: + \8740 = b[215:192]; + 11'b?1?????????: + \8740 = b[239:216]; + 11'b1??????????: + \8740 = b[263:240]; + default: + \8740 = a; + endcase + endfunction + assign _106_ = \8740 (24'h000000, { 168'h000000000000000000000000000000000000000000, f_in[92:69], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82], f_in[82:69], 48'h000000000000 }, { _097_, _096_, _094_, _093_, _091_, _087_, _085_, _073_, _072_, _069_, _030_ }); + assign _107_ = ri[8:3] == 6'h3f; + assign _108_ = ri[0] & _107_; + assign _109_ = _108_ ? 1'h0 : 1'h1; + assign _110_ = f_in[2] ? _109_ : f_in[0]; + assign _111_ = f_in[2] ? 42'h000000001fd : { _104_, _103_, _102_ }; + assign _112_ = f_in[68] ? 62'h0000000000000000 : f_in[66:5]; + assign _113_ = _112_ + { _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_[23], _106_ }; + assign _114_ = _101_ & f_in[0]; + assign _115_ = ~ flush_in; + assign _116_ = _114_ & _115_; + assign _117_ = ~ s[0]; + assign _118_ = _116_ & _117_; + assign _119_ = ri[42] ? ri[2:1] : r[113:112]; + assign _120_ = ri[0] ? ri[2:1] : _119_; + assign _121_ = ri[0] ? ri[41] : r[152]; + assign _122_ = ri[43] ? 1'h1 : _121_; + assign _123_ = ri[0] ? ri[40:3] : r[151:114]; + assign busy_out = s[0]; + assign flush_out = _118_; + assign f_out = { _113_, 2'h0, _118_ }; + assign d_out = { r[153], _122_, _123_, _120_, r[111:0] }; + assign log_out = 13'hzzzz; +endmodule + +module decode2_0_0e356ba505631fbf715758bed27d503f8b260e3a(clk, rst, complete_in, busy_in, flush_in, d_in, r_in, c_in, stall_out, stopped_out, e_out, r_out, c_out, log_out); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire [379:0] _05_; + wire _06_; + wire [6:0] _07_; + wire _08_; + wire _09_; + wire [6:0] _10_; + wire _11_; + wire [6:0] _12_; + wire _13_; + wire _14_; + wire [6:0] _15_; + wire _16_; + wire [6:0] _17_; + wire _18_; + wire _19_; + wire [6:0] _20_; + wire _21_; + wire _22_; + wire [6:0] _23_; + wire _24_; + wire _25_; + wire _26_; + wire _27_; + wire _28_; + wire _29_; + wire _30_; + wire [71:0] _31_; + wire [71:0] _32_; + wire [71:0] _33_; + wire _34_; + wire _35_; + wire _36_; + wire _37_; + wire _38_; + wire _39_; + wire _40_; + wire _41_; + wire _42_; + wire _43_; + wire _44_; + wire _45_; + wire _46_; + wire _47_; + wire _48_; + wire [71:0] _49_; + wire _50_; + wire _51_; + wire _52_; + wire _53_; + wire _54_; + wire [71:0] _55_; + wire _56_; + wire _57_; + wire _58_; + wire _59_; + wire _60_; + wire [7:0] _61_; + wire _62_; + wire _63_; + wire _64_; + wire _65_; + wire _66_; + wire _67_; + wire _68_; + wire _69_; + wire [3:0] _70_; + wire _71_; + wire _72_; + wire _73_; + wire _74_; + wire _75_; + wire _76_; + wire _77_; + wire _78_; + wire _79_; + wire _80_; + wire _81_; + wire _82_; + wire _83_; + wire _84_; + wire _85_; + wire _86_; + wire _87_; + wire _88_; + wire _89_; + wire _90_; + wire _91_; + input busy_in; + input [36:0] c_in; + output c_out; + input clk; + input complete_in; + wire control_valid_out; + wire cr_bypass; + wire cr_bypass_avail; + wire cr_write_valid; + input [153:0] d_in; + wire deferred; + output [379:0] e_out; + input flush_in; + wire gpr_a_bypass; + wire gpr_b_bypass; + wire gpr_bypassable; + wire gpr_c_bypass; + output [9:0] log_out; + reg [379:0] r; + input [191:0] r_in; + output [23:0] r_out; + wire [379:0] rin; + input rst; + output stall_out; + output stopped_out; + wire [6:0] update_gpr_write_reg; + wire update_gpr_write_valid; + assign deferred = r[0] & busy_in; + assign _02_ = rst | flush_in; + assign _03_ = ~ deferred; + assign _04_ = _02_ | _03_; + assign _05_ = _04_ ? rin : r; + always @(posedge clk) + r <= _05_; + assign _06_ = d_in[122:120] == 3'h3; + assign _07_ = _06_ ? d_in[104:98] : _10_; + assign _08_ = d_in[122:120] == 3'h5; + assign _09_ = _08_ & 1'h0; + assign _10_ = _09_ ? { 2'h2, d_in[86:82] } : { 2'h0, d_in[86:82] }; + assign _11_ = d_in[126:123] == 4'hd; + assign _12_ = _11_ ? d_in[111:105] : _15_; + assign _13_ = d_in[126:123] == 4'he; + assign _14_ = _13_ & 1'h0; + assign _15_ = _14_ ? { 2'h2, d_in[81:77] } : { 2'h0, d_in[81:77] }; + assign _16_ = d_in[129:127] == 3'h2; + assign _17_ = _16_ ? { 2'h0, d_in[76:72] } : _20_; + assign _18_ = d_in[129:127] == 3'h3; + assign _19_ = _18_ & 1'h0; + assign _20_ = _19_ ? { 2'h2, d_in[76:72] } : _23_; + assign _21_ = d_in[129:127] == 3'h4; + assign _22_ = _21_ & 1'h0; + assign _23_ = _22_ ? { 2'h2, d_in[91:87] } : { 2'h0, d_in[91:87] }; + assign _24_ = d_in[122:120] == 3'h1; + assign _25_ = d_in[122:120] == 3'h2; + assign _26_ = d_in[86:82] != 5'h00; + assign _27_ = _25_ & _26_; + assign _28_ = _24_ | _27_; + assign _29_ = d_in[122:120] == 3'h3; + assign _30_ = d_in[122:120] == 3'h4; + assign _31_ = _30_ ? { d_in[65:2], 8'h00 } : 72'h000000000000000000; + assign _32_ = _29_ ? { r_in[63:0], d_in[104:98], d_in[103] } : _31_; + assign _33_ = _28_ ? { r_in[63:0], 2'h0, d_in[86:82], 1'h1 } : _32_; + assign _34_ = d_in[126:123] == 4'h1; + assign _35_ = d_in[126:123] == 4'he; + assign _36_ = d_in[126:123] == 4'h2; + assign _37_ = d_in[126:123] == 4'h3; + assign _38_ = d_in[126:123] == 4'h4; + assign _39_ = d_in[126:123] == 4'h5; + assign _40_ = d_in[126:123] == 4'h6; + assign _41_ = d_in[126:123] == 4'h7; + assign _42_ = d_in[126:123] == 4'h9; + assign _43_ = d_in[126:123] == 4'h8; + assign _44_ = d_in[126:123] == 4'ha; + assign _45_ = d_in[126:123] == 4'hb; + assign _46_ = d_in[126:123] == 4'hc; + assign _47_ = d_in[126:123] == 4'hd; + assign _48_ = d_in[126:123] == 4'h0; + function [71:0] \9268 ; + input [71:0] a; + input [1079:0] b; + input [14:0] s; + (* parallel_case *) + casez (s) + 15'b??????????????1: + \9268 = b[71:0]; + 15'b?????????????1?: + \9268 = b[143:72]; + 15'b????????????1??: + \9268 = b[215:144]; + 15'b???????????1???: + \9268 = b[287:216]; + 15'b??????????1????: + \9268 = b[359:288]; + 15'b?????????1?????: + \9268 = b[431:360]; + 15'b????????1??????: + \9268 = b[503:432]; + 15'b???????1???????: + \9268 = b[575:504]; + 15'b??????1????????: + \9268 = b[647:576]; + 15'b?????1?????????: + \9268 = b[719:648]; + 15'b????1??????????: + \9268 = b[791:720]; + 15'b???1???????????: + \9268 = b[863:792]; + 15'b??1????????????: + \9268 = b[935:864]; + 15'b?1?????????????: + \9268 = b[1007:936]; + 15'b1??????????????: + \9268 = b[1079:1008]; + default: + \9268 = a; + endcase + endfunction + assign _49_ = \9268 (72'hxxxxxxxxxxxxxxxxxx, { 72'h000000000000000000, r_in[127:64], d_in[111:105], d_in[110], 59'h000000000000000, d_in[81:77], 66'h00000000000000000, d_in[67], d_in[81:77], 80'h00ffffffffffffffff00, d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81:72], d_in[86:82], d_in[66], 24'h000400, d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81:68], 10'h000, d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81:68], 10'h000, d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91], d_in[91:68], 42'h00000000000, d_in[81:66], 24'h000000, d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81:66], 24'h000000, d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81], d_in[81:66], 56'h00000000000000, d_in[81:66], 80'h00000000000000000000, r_in[127:64], 2'h0, d_in[81:77], 1'h1 }, { _48_, _47_, _46_, _45_, _44_, _43_, _42_, _41_, _40_, _39_, _38_, _37_, _36_, _35_, _34_ }); + assign _50_ = d_in[129:127] == 3'h1; + assign _51_ = d_in[129:127] == 3'h2; + assign _52_ = d_in[129:127] == 3'h4; + assign _53_ = d_in[129:127] == 3'h3; + assign _54_ = d_in[129:127] == 3'h0; + function [71:0] \9324 ; + input [71:0] a; + input [359:0] b; + input [4:0] s; + (* parallel_case *) + casez (s) + 5'b????1: + \9324 = b[71:0]; + 5'b???1?: + \9324 = b[143:72]; + 5'b??1??: + \9324 = b[215:144]; + 5'b?1???: + \9324 = b[287:216]; + 5'b1????: + \9324 = b[359:288]; + default: + \9324 = a; + endcase + endfunction + assign _55_ = \9324 (72'hxxxxxxxxxxxxxxxxxx, { 216'h000000000000000000000000000000000000000000000000000000, r_in[191:128], 2'h0, d_in[76:72], 1'h1, r_in[191:128], 2'h0, d_in[91:87], 1'h1 }, { _54_, _53_, _52_, _51_, _50_ }); + assign _56_ = d_in[132:130] == 3'h1; + assign _57_ = d_in[132:130] == 3'h2; + assign _58_ = d_in[132:130] == 3'h4; + assign _59_ = d_in[132:130] == 3'h3; + assign _60_ = d_in[132:130] == 3'h0; + function [7:0] \9385 ; + input [7:0] a; + input [39:0] b; + input [4:0] s; + (* parallel_case *) + casez (s) + 5'b????1: + \9385 = b[7:0]; + 5'b???1?: + \9385 = b[15:8]; + 5'b??1??: + \9385 = b[23:16]; + 5'b?1???: + \9385 = b[31:24]; + 5'b1????: + \9385 = b[39:32]; + default: + \9385 = a; + endcase + endfunction + assign _61_ = \9385 (8'hxx, { 8'h00, d_in[104:98], d_in[103], 10'h000, d_in[86:82], 3'h4, d_in[91:87], 1'h1 }, { _60_, _59_, _58_, _57_, _56_ }); + assign _62_ = _33_[0] & d_in[0]; + assign _63_ = _49_[0] & d_in[0]; + assign _64_ = _55_[0] & d_in[0]; + assign _65_ = d_in[142:140] == 3'h1; + assign _66_ = d_in[142:140] == 3'h2; + assign _67_ = d_in[142:140] == 3'h3; + assign _68_ = d_in[142:140] == 3'h4; + assign _69_ = d_in[142:140] == 3'h0; + function [3:0] \9414 ; + input [3:0] a; + input [19:0] b; + input [4:0] s; + (* parallel_case *) + casez (s) + 5'b????1: + \9414 = b[3:0]; + 5'b???1?: + \9414 = b[7:4]; + 5'b??1??: + \9414 = b[11:8]; + 5'b?1???: + \9414 = b[15:12]; + 5'b1????: + \9414 = b[19:16]; + default: + \9414 = a; + endcase + endfunction + assign _70_ = \9414 (4'hx, 20'h08421, { _69_, _68_, _67_, _66_, _65_ }); + assign _71_ = d_in[150:149] == 2'h2; + assign _72_ = d_in[150:149] == 2'h1; + assign _73_ = d_in[150:149] == 2'h0; + function [0:0] \9463 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \9463 = b[0:0]; + 3'b?1?: + \9463 = b[1:1]; + 3'b1??: + \9463 = b[2:2]; + default: + \9463 = a; + endcase + endfunction + assign _74_ = \9463 (1'hx, { 2'h1, d_in[66] }, { _73_, _72_, _71_ }); + assign _75_ = d_in[119:114] == 6'h2d; + assign _76_ = d_in[119:114] == 6'h2c; + assign _77_ = _75_ | _76_; + assign _78_ = ~ _77_; + assign _79_ = d_in[150:149] == 2'h2; + function [0:0] \9493 ; + input [0:0] a; + input [0:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \9493 = b[0:0]; + default: + \9493 = a; + endcase + endfunction + assign _80_ = \9493 (1'h0, d_in[76], _79_); + assign _81_ = _78_ ? _80_ : 1'h0; + assign _82_ = d_in[151] ? d_in[66] : 1'h0; + assign _83_ = d_in[113:112] == 2'h1; + assign _84_ = 1'h1 & _83_; + assign gpr_bypassable = _84_ ? 1'h1 : 1'h0; + assign update_gpr_write_valid = _82_ ? 1'h1 : d_in[145]; + assign update_gpr_write_reg = _82_ ? 7'h20 : _33_[7:1]; + assign _85_ = d_in[150:149] == 2'h2; + assign _86_ = d_in[150:149] == 2'h1; + assign _87_ = d_in[150:149] == 2'h0; + function [0:0] \9604 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \9604 = b[0:0]; + 3'b?1?: + \9604 = b[1:1]; + 3'b1??: + \9604 = b[2:2]; + default: + \9604 = a; + endcase + endfunction + assign _88_ = \9604 (1'hx, { 2'h1, d_in[66] }, { _87_, _86_, _85_ }); + assign cr_write_valid = d_in[134] | _88_; + assign _89_ = d_in[113:112] == 2'h1; + assign _90_ = 1'h1 & _89_; + assign cr_bypass_avail = _90_ ? d_in[134] : 1'h0; + assign _91_ = rst | flush_in; + assign rin = _91_ ? 380'h00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 : { d_in[153], d_in[146:143], _70_, d_in[97:66], d_in[148:147], d_in[134], 1'h0, d_in[139:135], _81_, _74_, _82_, c_in[36:32], cr_bypass, c_in[31:0], gpr_c_bypass, gpr_b_bypass, gpr_a_bypass, _55_[71:8], _49_[71:8], _33_[71:8], _49_[7:1], _33_[7:1], _61_[7:1], d_in[65:2], d_in[119:112], control_valid_out }; + control_1 control_0 ( + .busy_in(busy_in), + .clk(clk), + .complete_in(complete_in), + .cr_bypass(cr_bypass), + .cr_bypassable(cr_bypass_avail), + .cr_read_in(d_in[133]), + .cr_write_in(cr_write_valid), + .deferred(deferred), + .flush_in(flush_in), + .gpr_a_read_in(_33_[7:1]), + .gpr_a_read_valid_in(_33_[0]), + .gpr_b_read_in(_49_[7:1]), + .gpr_b_read_valid_in(_49_[0]), + .gpr_bypass_a(gpr_a_bypass), + .gpr_bypass_b(gpr_b_bypass), + .gpr_bypass_c(gpr_c_bypass), + .gpr_bypassable(gpr_bypassable), + .gpr_c_read_in(_55_[7:1]), + .gpr_c_read_valid_in(_55_[0]), + .gpr_write_in(_61_[7:1]), + .gpr_write_valid_in(_61_[0]), + .rst(rst), + .sgl_pipe_in(d_in[152]), + .stall_out(_00_), + .stop_mark_in(d_in[1]), + .stopped_out(_01_), + .update_gpr_write_reg(update_gpr_write_reg), + .update_gpr_write_valid(update_gpr_write_valid), + .valid_in(d_in[0]), + .valid_out(control_valid_out) + ); + assign stall_out = _00_; + assign stopped_out = _01_; + assign e_out = r; + assign r_out = { _17_, _64_, _12_, _63_, _07_, _62_ }; + assign c_out = d_in[133]; + assign log_out = 10'hzzz; +endmodule + +module divider(clk, rst, d_in, d_out); + wire [128:0] _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire [63:0] _06_; + wire [6:0] _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire [6:0] _12_; + wire _13_; + wire [6:0] _14_; + wire [128:0] _15_; + wire [63:0] _16_; + wire [6:0] _17_; + wire _18_; + wire [128:0] _19_; + wire [63:0] _20_; + wire [6:0] _21_; + wire _22_; + wire [128:0] _23_; + wire [63:0] _24_; + wire _25_; + wire [6:0] _26_; + wire _27_; + wire _28_; + wire [128:0] _29_; + wire [63:0] _30_; + wire [63:0] _31_; + wire _32_; + wire [6:0] _33_; + wire _34_; + wire _35_; + wire _36_; + wire _37_; + wire _38_; + wire _39_; + wire [128:0] _40_; + wire [63:0] _41_; + wire [63:0] _42_; + wire _43_; + wire [6:0] _44_; + wire _45_; + wire _46_; + wire _47_; + wire _48_; + wire _49_; + wire _50_; + wire [64:0] _51_; + wire _52_; + wire _53_; + wire _54_; + wire _55_; + wire _56_; + wire _57_; + wire _58_; + wire _59_; + wire _60_; + wire _61_; + wire [63:0] _62_; + wire _63_; + wire _64_; + reg [65:0] _65_; + input clk; + reg [6:0] count; + input [133:0] d_in; + output [65:0] d_out; + reg [128:0] dend; + wire did_ovf; + reg [63:0] div; + reg is_32bit; + reg is_modulus; + reg is_signed; + reg neg_result; + wire [63:0] oresult; + reg overflow; + reg ovf32; + reg [63:0] quot; + wire [63:0] result; + input rst; + reg running; + wire [64:0] sresult; + assign _00_ = d_in[131] ? { 1'h0, d_in[64:1], 64'h0000000000000000 } : { 65'h00000000000000000, d_in[64:1] }; + assign _01_ = count == 7'h3f; + assign _02_ = _25_ ? 1'h0 : running; + assign _03_ = dend[127:64] >= div; + assign _04_ = dend[128] | _03_; + assign _05_ = ovf32 | quot[31]; + assign _06_ = dend[127:64] - div; + assign _07_ = count + 7'h01; + assign _08_ = dend[128:57] == 72'h000000000000000000; + assign _09_ = count[6:3] != 4'h7; + assign _10_ = _08_ & _09_; + assign _11_ = | { ovf32, quot[31:24] }; + assign _12_ = count + 7'h08; + assign _13_ = ovf32 | quot[31]; + assign _14_ = count + 7'h01; + assign _15_ = _10_ ? { dend[120:0], 8'h00 } : { dend[127:0], 1'h0 }; + assign _16_ = _10_ ? { quot[55:0], 8'h00 } : { quot[62:0], 1'h0 }; + assign _17_ = _10_ ? _12_ : _14_; + assign _18_ = _10_ ? _11_ : _13_; + assign _19_ = _04_ ? { _06_, dend[63:0], 1'h0 } : _15_; + assign _20_ = _04_ ? { quot[62:0], 1'h1 } : _16_; + assign _21_ = _04_ ? _07_ : _17_; + assign _22_ = _04_ ? _05_ : _18_; + assign _23_ = running ? _19_ : dend; + assign _24_ = running ? _20_ : quot; + assign _25_ = running & _01_; + assign _26_ = running ? _21_ : 7'h00; + assign _27_ = running ? quot[63] : overflow; + assign _28_ = running ? _22_ : ovf32; + assign _29_ = d_in[0] ? _00_ : _23_; + assign _30_ = d_in[0] ? d_in[128:65] : div; + assign _31_ = d_in[0] ? 64'h0000000000000000 : _24_; + assign _32_ = d_in[0] ? 1'h1 : _02_; + assign _33_ = d_in[0] ? 7'h7f : _26_; + assign _34_ = d_in[0] ? d_in[133] : neg_result; + assign _35_ = d_in[0] ? d_in[132] : is_modulus; + assign _36_ = d_in[0] ? d_in[130] : is_32bit; + assign _37_ = d_in[0] ? d_in[129] : is_signed; + assign _38_ = d_in[0] ? 1'h0 : _27_; + assign _39_ = d_in[0] ? 1'h0 : _28_; + assign _40_ = rst ? 129'h000000000000000000000000000000000 : _29_; + assign _41_ = rst ? 64'h0000000000000000 : _30_; + assign _42_ = rst ? 64'h0000000000000000 : _31_; + assign _43_ = rst ? 1'h0 : _32_; + assign _44_ = rst ? 7'h00 : _33_; + assign _45_ = rst ? neg_result : _34_; + assign _46_ = rst ? is_modulus : _35_; + assign _47_ = rst ? is_32bit : _36_; + assign _48_ = rst ? is_signed : _37_; + assign _49_ = rst ? overflow : _38_; + assign _50_ = rst ? ovf32 : _39_; + always @(posedge clk) + dend <= _40_; + always @(posedge clk) + div <= _41_; + always @(posedge clk) + quot <= _42_; + always @(posedge clk) + running <= _43_; + always @(posedge clk) + count <= _44_; + always @(posedge clk) + neg_result <= _45_; + always @(posedge clk) + is_modulus <= _46_; + always @(posedge clk) + is_32bit <= _47_; + always @(posedge clk) + is_signed <= _48_; + always @(posedge clk) + overflow <= _49_; + always @(posedge clk) + ovf32 <= _50_; + assign result = is_modulus ? dend[128:65] : quot; + assign _51_ = - $signed({ 1'h0, result }); + assign sresult = neg_result ? _51_ : { 1'h0, result }; + assign _52_ = ~ is_32bit; + assign _53_ = sresult[64] ^ sresult[63]; + assign _54_ = is_signed & _53_; + assign _55_ = overflow | _54_; + assign _56_ = sresult[32] != sresult[31]; + assign _57_ = ovf32 | _56_; + assign _58_ = _57_ ? 1'h1 : 1'h0; + assign _59_ = is_signed ? _58_ : ovf32; + assign did_ovf = _52_ ? _55_ : _59_; + assign _60_ = ~ is_modulus; + assign _61_ = is_32bit & _60_; + assign _62_ = _61_ ? { 32'h00000000, sresult[31:0] } : sresult[63:0]; + assign oresult = did_ovf ? 64'h0000000000000000 : _62_; + assign _63_ = count == 7'h40; + assign _64_ = _63_ ? 1'h1 : 1'h0; + always @(posedge clk) + _65_ <= { did_ovf, oresult, _64_ }; + assign d_out = _65_; +endmodule + +module dmi_dtm_jtag_8_64(sys_clk, sys_reset, dmi_din, dmi_ack, jtag_tck, jtag_tdi, jtag_tms, jtag_trst, dmi_addr, dmi_dout, dmi_req, dmi_wr, jtag_tdo); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire _15_; + wire [1:0] _16_; + wire [1:0] _17_; + wire [71:0] _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire [63:0] _23_; + wire [63:0] _24_; + wire [63:0] _25_; + wire [63:0] _26_; + wire [7:0] _27_; + wire [1:0] _28_; + wire _29_; + wire [73:0] _30_; + wire [73:0] _31_; + wire [73:0] _32_; + wire _33_; + wire capture; + input dmi_ack; + reg dmi_ack_0; + reg dmi_ack_1; + output [7:0] dmi_addr; + input [63:0] dmi_din; + output [63:0] dmi_dout; + output dmi_req; + output dmi_wr; + wire jtag_bsy; + reg jtag_req; + reg jtag_req_0; + reg jtag_req_1; + input jtag_tck; + input jtag_tdi; + output jtag_tdo; + input jtag_tms; + input jtag_trst; + wire op_valid; + reg [73:0] request; + wire [1:0] rsp_op; + wire sel; + wire shift; + reg [73:0] shiftr; + input sys_clk; + input sys_reset; + wire tdi; + wire update; + assign _06_ = sys_reset ? 1'h0 : jtag_req; + assign _07_ = sys_reset ? 1'h0 : jtag_req_0; + always @(posedge sys_clk) + jtag_req_0 <= _06_; + always @(posedge sys_clk) + jtag_req_1 <= _07_; + always @(posedge jtag_tck, posedge jtag_trst) + if (jtag_trst) dmi_ack_0 <= 1'h0; + else dmi_ack_0 <= dmi_ack; + always @(posedge jtag_tck, posedge jtag_trst) + if (jtag_trst) dmi_ack_1 <= 1'h0; + else dmi_ack_1 <= dmi_ack_0; + assign jtag_bsy = jtag_req | dmi_ack_1; + assign _08_ = shiftr[1:0] == 2'h1; + assign _09_ = shiftr[1:0] == 2'h2; + function [0:0] \6934 ; + input [0:0] a; + input [1:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \6934 = b[0:0]; + 2'b1?: + \6934 = b[1:1]; + default: + \6934 = a; + endcase + endfunction + assign op_valid = \6934 (1'h0, 2'h3, { _09_, _08_ }); + assign rsp_op = jtag_bsy ? 2'h3 : 2'h0; + assign _10_ = request[1:0] == 2'h2; + assign _11_ = _10_ ? 1'h1 : 1'h0; + assign _12_ = jtag_trst | sys_reset; + assign _13_ = update & op_valid; + assign _14_ = ~ jtag_bsy; + assign _15_ = _20_ ? 1'h1 : jtag_req; + assign _16_ = shift ? shiftr[2:1] : shiftr[1:0]; + assign _17_ = _13_ ? 2'h3 : _16_; + assign _18_ = shift ? { tdi, shiftr[73:3] } : shiftr[73:2]; + assign _19_ = _13_ & _14_; + assign _20_ = _13_ & _14_; + assign _21_ = jtag_req & dmi_ack_1; + assign _22_ = request[1:0] == 2'h1; + assign _23_ = _19_ ? shiftr[65:2] : request[65:2]; + assign _24_ = _22_ ? dmi_din : _23_; + assign _25_ = _19_ ? shiftr[65:2] : request[65:2]; + assign _26_ = _21_ ? _24_ : _25_; + assign _27_ = _19_ ? shiftr[73:66] : request[73:66]; + assign _28_ = _19_ ? shiftr[1:0] : request[1:0]; + assign _29_ = _21_ ? 1'h0 : _15_; + assign _30_ = capture ? { request[73:2], rsp_op } : { _18_, _17_ }; + assign _31_ = sel ? _30_ : shiftr; + always @(posedge jtag_tck, posedge _12_) + if (_12_) shiftr <= 74'h0000000000000000000; + else shiftr <= _31_; + assign _32_ = sel ? { _27_, _26_, _28_ } : request; + always @(posedge jtag_tck, posedge _12_) + if (_12_) request <= 74'h0000000000000000000; + else request <= _32_; + assign _33_ = sel ? _29_ : jtag_req; + always @(posedge jtag_tck, posedge _12_) + if (_12_) jtag_req <= 1'h0; + else jtag_req <= _33_; + tap_top tap_top0 ( + .bs_chain_tdi_i(1'h0), + .capture_dr_o(capture), + .debug_select_o(sel), + .debug_tdi_i(shiftr[0]), + .extest_select_o(_03_), + .mbist_select_o(_05_), + .mbist_tdi_i(1'h0), + .pause_dr_o(_02_), + .sample_preload_select_o(_04_), + .shift_dr_o(shift), + .tck_pad_i(jtag_tck), + .tdi_pad_i(jtag_tdi), + .tdo_o(tdi), + .tdo_pad_o(_00_), + .tdo_padoe_o(_01_), + .tms_pad_i(jtag_tms), + .trst_pad_i(jtag_trst), + .update_dr_o(update) + ); + assign dmi_addr = request[73:66]; + assign dmi_dout = request[65:2]; + assign dmi_req = jtag_req_1; + assign dmi_wr = _11_; + assign jtag_tdo = _00_; +endmodule + +module execute1_0_0e356ba505631fbf715758bed27d503f8b260e3a( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + clk, rst, e_in, l_in, fp_in, ext_irq_in, log_rd_data, log_wr_addr, flush_out, busy_out, l_out, f_out, fp_out, e_out, dbg_msr_out, icache_inval, terminate_out, log_out, log_rd_addr); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire _0000_; + wire _0001_; + wire _0002_; + wire _0003_; + wire _0004_; + wire _0005_; + wire [455:0] _0006_; + wire [127:0] _0007_; + wire [63:0] _0008_; + wire [63:0] _0009_; + wire _0010_; + wire [63:0] _0011_; + wire [4:0] _0012_; + wire _0013_; + wire _0014_; + wire [3:0] _0015_; + wire [3:0] _0016_; + wire [3:0] _0017_; + wire [3:0] _0018_; + wire [3:0] _0019_; + wire [3:0] _0020_; + wire [3:0] _0021_; + wire [3:0] _0022_; + wire _0023_; + wire [63:0] _0024_; + wire [63:0] _0025_; + wire _0026_; + wire _0027_; + wire _0028_; + wire _0029_; + wire _0030_; + wire [64:0] _0031_; + wire [64:0] _0032_; + wire _0033_; + wire _0034_; + wire _0035_; + wire _0036_; + wire _0037_; + wire [63:0] _0038_; + wire [63:0] _0039_; + wire _0040_; + wire [63:0] _0041_; + wire [63:0] _0042_; + wire _0043_; + wire _0044_; + wire _0045_; + wire [63:0] _0046_; + wire [127:0] _0047_; + wire _0048_; + wire [127:0] _0049_; + wire [127:0] _0050_; + wire _0051_; + wire _0052_; + wire _0053_; + wire _0054_; + wire _0055_; + wire _0056_; + wire _0057_; + wire _0058_; + wire [63:0] _0059_; + wire [127:0] _0060_; + wire [127:0] _0061_; + wire _0062_; + wire [63:0] _0063_; + wire [63:0] _0064_; + wire [63:0] _0065_; + wire _0066_; + wire [63:0] _0067_; + wire _0068_; + wire [63:0] _0069_; + wire _0070_; + wire _0071_; + wire _0072_; + wire _0073_; + wire [63:0] _0074_; + wire _0075_; + wire _0076_; + wire _0077_; + wire _0078_; + wire _0079_; + wire _0080_; + wire _0081_; + wire _0082_; + wire [63:0] _0083_; + wire [63:0] _0084_; + wire _0085_; + wire _0086_; + wire [5:0] _0087_; + wire _0088_; + wire _0089_; + wire _0090_; + wire _0091_; + wire _0092_; + wire _0093_; + wire _0094_; + wire _0095_; + wire _0096_; + wire _0097_; + wire _0098_; + wire _0099_; + wire _0100_; + wire _0101_; + wire _0102_; + wire _0103_; + wire _0104_; + wire _0105_; + wire _0106_; + wire _0107_; + wire _0108_; + wire _0109_; + wire _0110_; + wire _0111_; + wire [5:0] _0112_; + wire _0113_; + wire _0114_; + wire _0115_; + wire _0116_; + wire _0117_; + wire _0118_; + wire _0119_; + wire _0120_; + wire _0121_; + wire _0122_; + wire _0123_; + wire _0124_; + wire _0125_; + wire _0126_; + wire _0127_; + wire [63:0] _0128_; + wire _0129_; + wire _0130_; + wire _0131_; + wire _0132_; + wire _0133_; + wire _0134_; + wire _0135_; + wire _0136_; + wire _0137_; + wire _0138_; + wire _0139_; + wire _0140_; + wire _0141_; + wire _0142_; + wire _0143_; + wire _0144_; + wire _0145_; + wire _0146_; + wire _0147_; + wire _0148_; + wire _0149_; + wire _0150_; + wire _0151_; + wire [115:0] _0152_; + wire _0153_; + wire [1:0] _0154_; + wire [1:0] _0155_; + wire [1:0] _0156_; + wire _0157_; + wire [72:0] _0158_; + wire [193:0] _0159_; + wire _0160_; + wire _0161_; + wire _0162_; + wire _0163_; + wire _0164_; + wire _0165_; + wire _0166_; + wire _0167_; + wire _0168_; + wire [193:0] _0169_; + wire _0170_; + wire _0171_; + wire _0172_; + wire [31:0] _0173_; + wire _0174_; + wire _0175_; + wire [31:0] _0176_; + wire _0177_; + wire _0178_; + wire _0179_; + wire _0180_; + wire _0181_; + wire _0182_; + wire _0183_; + wire _0184_; + wire _0185_; + wire _0186_; + wire _0187_; + wire _0188_; + wire _0189_; + wire _0190_; + wire [4:0] _0191_; + wire [4:0] _0192_; + wire _0193_; + wire [3:0] _0194_; + wire _0195_; + wire _0196_; + wire _0197_; + wire _0198_; + wire _0199_; + wire _0200_; + wire _0201_; + wire _0202_; + wire [7:0] _0203_; + wire [4:0] _0204_; + wire _0205_; + wire _0206_; + wire _0207_; + wire [40:0] _0208_; + wire [63:0] _0209_; + wire _0210_; + wire _0211_; + wire [74:0] _0212_; + wire [40:0] _0213_; + wire [77:0] _0214_; + wire [63:0] _0215_; + wire _0216_; + wire _0217_; + wire _0218_; + wire _0219_; + wire _0220_; + wire _0221_; + wire _0222_; + wire _0223_; + wire _0224_; + wire _0225_; + wire [3:0] _0226_; + wire _0227_; + wire _0228_; + wire _0229_; + wire [3:0] _0230_; + wire _0231_; + wire _0232_; + wire _0233_; + wire [3:0] _0234_; + wire _0235_; + wire _0236_; + wire _0237_; + wire [3:0] _0238_; + wire _0239_; + wire _0240_; + wire _0241_; + wire [3:0] _0242_; + wire _0243_; + wire _0244_; + wire _0245_; + wire [3:0] _0246_; + wire _0247_; + wire _0248_; + wire _0249_; + wire [3:0] _0250_; + wire _0251_; + wire _0252_; + wire _0253_; + wire [3:0] _0254_; + wire _0255_; + wire _0256_; + wire _0257_; + wire [3:0] _0258_; + wire _0259_; + wire _0260_; + wire _0261_; + wire [3:0] _0262_; + wire _0263_; + wire _0264_; + wire _0265_; + wire [3:0] _0266_; + wire _0267_; + wire _0268_; + wire _0269_; + wire [3:0] _0270_; + wire _0271_; + wire _0272_; + wire _0273_; + wire [3:0] _0274_; + wire _0275_; + wire _0276_; + wire _0277_; + wire [3:0] _0278_; + wire _0279_; + wire _0280_; + wire _0281_; + wire [3:0] _0282_; + wire _0283_; + wire [3:0] _0284_; + wire _0285_; + wire _0286_; + wire _0287_; + wire _0288_; + wire _0289_; + wire _0290_; + wire _0291_; + wire _0292_; + wire _0293_; + wire _0294_; + wire _0295_; + wire _0296_; + wire _0297_; + wire _0298_; + wire _0299_; + wire _0300_; + wire _0301_; + wire _0302_; + wire [7:0] _0303_; + wire _0304_; + wire _0305_; + wire _0306_; + wire _0307_; + wire _0308_; + wire _0309_; + wire _0310_; + wire _0311_; + wire _0312_; + wire _0313_; + wire _0314_; + wire _0315_; + wire _0316_; + wire _0317_; + wire _0318_; + wire _0319_; + wire _0320_; + wire _0321_; + wire _0322_; + wire _0323_; + wire _0324_; + wire _0325_; + wire _0326_; + wire _0327_; + wire _0328_; + wire [7:0] _0329_; + wire _0330_; + wire _0331_; + wire _0332_; + wire _0333_; + wire _0334_; + wire _0335_; + wire _0336_; + wire _0337_; + wire _0338_; + wire _0339_; + wire _0340_; + wire _0341_; + wire _0342_; + wire _0343_; + wire _0344_; + wire _0345_; + wire _0346_; + wire _0347_; + wire _0348_; + wire _0349_; + wire _0350_; + wire [63:0] _0351_; + wire [6:0] _0352_; + wire [63:0] _0353_; + wire _0354_; + wire [31:0] _0355_; + wire _0356_; + wire _0357_; + wire _0358_; + wire _0359_; + wire _0360_; + wire _0361_; + wire _0362_; + wire _0363_; + wire _0364_; + wire _0365_; + wire _0366_; + wire _0367_; + wire _0368_; + wire [63:0] _0369_; + wire [6:0] _0370_; + wire [63:0] _0371_; + wire _0372_; + wire [31:0] _0373_; + wire _0374_; + wire _0375_; + wire _0376_; + wire _0377_; + wire _0378_; + wire _0379_; + wire _0380_; + wire _0381_; + wire _0382_; + wire _0383_; + wire _0384_; + wire _0385_; + wire _0386_; + wire _0387_; + wire [1:0] _0388_; + wire _0389_; + wire _0390_; + wire _0391_; + wire [31:0] _0392_; + wire [63:0] _0393_; + wire _0394_; + wire _0395_; + wire _0396_; + wire _0397_; + wire _0398_; + wire _0399_; + wire _0400_; + wire _0401_; + wire _0402_; + wire _0403_; + wire [7:0] _0404_; + wire _0405_; + wire [3:0] _0406_; + wire _0407_; + wire [3:0] _0408_; + wire _0409_; + wire [3:0] _0410_; + wire _0411_; + wire [3:0] _0412_; + wire _0413_; + wire [3:0] _0414_; + wire _0415_; + wire [3:0] _0416_; + wire _0417_; + wire [3:0] _0418_; + wire _0419_; + wire [3:0] _0420_; + wire [31:0] _0421_; + wire [31:0] _0422_; + wire [31:0] _0423_; + wire [31:0] _0424_; + wire [31:0] _0425_; + wire [31:0] _0426_; + wire _0427_; + wire _0428_; + wire _0429_; + wire _0430_; + wire _0431_; + wire _0432_; + wire _0433_; + wire _0434_; + wire [7:0] _0435_; + wire _0436_; + wire _0437_; + wire _0438_; + wire _0439_; + wire _0440_; + wire _0441_; + wire _0442_; + wire _0443_; + wire _0444_; + wire _0445_; + wire _0446_; + wire _0447_; + wire _0448_; + wire _0449_; + wire _0450_; + wire _0451_; + wire _0452_; + wire _0453_; + wire _0454_; + wire _0455_; + wire _0456_; + wire _0457_; + wire _0458_; + wire _0459_; + wire _0460_; + wire _0461_; + wire _0462_; + wire _0463_; + wire _0464_; + wire _0465_; + wire _0466_; + wire _0467_; + wire _0468_; + wire _0469_; + wire _0470_; + wire _0471_; + wire _0472_; + wire _0473_; + wire _0474_; + wire _0475_; + wire _0476_; + wire _0477_; + wire _0478_; + wire _0479_; + wire _0480_; + wire _0481_; + wire _0482_; + wire _0483_; + wire _0484_; + wire _0485_; + wire _0486_; + wire _0487_; + wire _0488_; + wire _0489_; + wire _0490_; + wire _0491_; + wire _0492_; + wire _0493_; + wire _0494_; + wire _0495_; + wire _0496_; + wire _0497_; + wire _0498_; + wire _0499_; + wire [40:0] _0500_; + wire _0501_; + wire _0502_; + wire _0503_; + wire _0504_; + wire _0505_; + wire _0506_; + wire _0507_; + wire _0508_; + wire _0509_; + wire [7:0] _0510_; + wire _0511_; + wire _0512_; + wire _0513_; + wire _0514_; + wire [63:0] _0515_; + wire [63:0] _0516_; + wire _0517_; + wire _0518_; + wire _0519_; + wire [45:0] _0520_; + wire _0521_; + wire _0522_; + wire _0523_; + wire _0524_; + wire _0525_; + wire _0526_; + wire [31:0] _0527_; + wire _0528_; + wire _0529_; + wire [31:0] _0530_; + wire _0531_; + wire [31:0] _0532_; + wire [31:0] _0533_; + wire [31:0] _0534_; + wire [63:0] _0535_; + wire _0536_; + wire _0537_; + wire _0538_; + wire _0539_; + wire _0540_; + wire [2:0] _0541_; + wire _0542_; + wire _0543_; + wire [2:0] _0544_; + wire _0545_; + wire _0546_; + wire _0547_; + wire _0548_; + wire _0549_; + wire _0550_; + wire _0551_; + wire _0552_; + wire [2:0] _0553_; + wire _0554_; + wire _0555_; + wire _0556_; + wire _0557_; + wire _0558_; + wire _0559_; + wire _0560_; + wire _0561_; + wire [2:0] _0562_; + wire _0563_; + wire _0564_; + wire _0565_; + wire _0566_; + wire _0567_; + wire _0568_; + wire _0569_; + wire _0570_; + wire [2:0] _0571_; + wire _0572_; + wire _0573_; + wire _0574_; + wire _0575_; + wire _0576_; + wire _0577_; + wire _0578_; + wire _0579_; + wire [2:0] _0580_; + wire _0581_; + wire _0582_; + wire _0583_; + wire _0584_; + wire _0585_; + wire _0586_; + wire _0587_; + wire _0588_; + wire [2:0] _0589_; + wire _0590_; + wire _0591_; + wire _0592_; + wire _0593_; + wire _0594_; + wire _0595_; + wire _0596_; + wire [2:0] _0597_; + wire _0598_; + wire _0599_; + wire _0600_; + wire _0601_; + wire [2:0] _0602_; + wire _0603_; + wire [3:0] _0604_; + wire _0605_; + wire [3:0] _0606_; + wire _0607_; + wire [3:0] _0608_; + wire _0609_; + wire [3:0] _0610_; + wire _0611_; + wire [3:0] _0612_; + wire _0613_; + wire [3:0] _0614_; + wire _0615_; + wire [3:0] _0616_; + wire _0617_; + wire [3:0] _0618_; + wire [63:0] _0619_; + wire _0620_; + wire _0621_; + wire _0622_; + wire _0623_; + wire [2:0] _0624_; + wire _0625_; + wire _0626_; + wire [2:0] _0627_; + wire _0628_; + wire _0629_; + wire _0630_; + wire _0631_; + wire _0632_; + wire _0633_; + wire _0634_; + wire _0635_; + wire [2:0] _0636_; + wire _0637_; + wire _0638_; + wire _0639_; + wire _0640_; + wire _0641_; + wire _0642_; + wire _0643_; + wire _0644_; + wire [2:0] _0645_; + wire _0646_; + wire _0647_; + wire _0648_; + wire _0649_; + wire _0650_; + wire _0651_; + wire _0652_; + wire _0653_; + wire [2:0] _0654_; + wire _0655_; + wire _0656_; + wire _0657_; + wire _0658_; + wire _0659_; + wire _0660_; + wire _0661_; + wire _0662_; + wire [2:0] _0663_; + wire _0664_; + wire _0665_; + wire _0666_; + wire _0667_; + wire _0668_; + wire _0669_; + wire _0670_; + wire _0671_; + wire [2:0] _0672_; + wire _0673_; + wire _0674_; + wire _0675_; + wire _0676_; + wire _0677_; + wire _0678_; + wire _0679_; + wire [2:0] _0680_; + wire _0681_; + wire _0682_; + wire _0683_; + wire _0684_; + wire [2:0] _0685_; + wire _0686_; + wire _0687_; + wire _0688_; + wire _0689_; + wire _0690_; + wire _0691_; + wire _0692_; + wire _0693_; + wire [7:0] _0694_; + wire [7:0] _0695_; + wire _0696_; + wire _0697_; + wire [27:0] _0698_; + wire [2:0] _0699_; + wire [1:0] _0700_; + wire _0701_; + wire _0702_; + wire [9:0] _0703_; + wire [1:0] _0704_; + wire _0705_; + wire [43:0] _0706_; + wire [2:0] _0707_; + wire _0708_; + wire _0709_; + wire [5:0] _0710_; + wire _0711_; + wire _0712_; + wire _0713_; + wire [63:0] _0714_; + wire [31:0] _0715_; + wire _0716_; + wire [63:0] _0717_; + wire [5:0] _0718_; + wire [31:0] _0719_; + wire [63:0] _0720_; + wire _0721_; + wire _0722_; + wire _0723_; + wire [193:0] _0724_; + wire _0725_; + wire _0726_; + wire _0727_; + wire _0728_; + wire _0729_; + wire _0730_; + wire _0731_; + wire _0732_; + wire _0733_; + wire _0734_; + wire _0735_; + wire [31:0] _0736_; + wire [31:0] _0737_; + wire [31:0] _0738_; + wire _0739_; + wire _0740_; + wire [62:0] _0741_; + wire _0742_; + wire _0743_; + wire _0744_; + wire _0745_; + wire _0746_; + wire _0747_; + wire _0748_; + wire _0749_; + wire _0750_; + wire _0751_; + wire _0752_; + wire _0753_; + wire _0754_; + wire _0755_; + wire [63:0] _0756_; + wire _0757_; + wire _0758_; + wire [1:0] _0759_; + wire [1:0] _0760_; + wire [5:0] _0761_; + wire _0762_; + wire [1:0] _0763_; + wire _0764_; + wire [5:0] _0765_; + wire [4:0] _0766_; + wire [3:0] _0767_; + wire [28:0] _0768_; + wire _0769_; + wire [2:0] _0770_; + wire _0771_; + wire _0772_; + wire _0773_; + wire _0774_; + wire [2:0] _0775_; + wire [6:0] _0776_; + wire [63:0] _0777_; + wire _0778_; + wire [7:0] _0779_; + wire [31:0] _0780_; + wire [5:0] _0781_; + wire [71:0] _0782_; + wire _0783_; + wire _0784_; + wire _0785_; + wire _0786_; + wire _0787_; + wire [63:0] _0788_; + wire _0789_; + wire _0790_; + wire _0791_; + wire _0792_; + wire _0793_; + wire [31:0] _0794_; + wire _0795_; + wire [2:0] _0796_; + wire [3:0] _0797_; + wire [3:0] _0798_; + wire [3:0] _0799_; + wire [3:0] _0800_; + wire [3:0] _0801_; + wire [3:0] _0802_; + wire [3:0] _0803_; + wire [3:0] _0804_; + wire [3:0] _0805_; + wire [3:0] _0806_; + wire [3:0] _0807_; + wire [3:0] _0808_; + wire [3:0] _0809_; + wire [3:0] _0810_; + wire [3:0] _0811_; + wire _0812_; + wire _0813_; + wire _0814_; + wire _0815_; + wire _0816_; + wire _0817_; + wire _0818_; + wire _0819_; + wire _0820_; + wire [63:0] _0821_; + wire _0822_; + wire [63:0] _0823_; + wire [63:0] _0824_; + wire [63:0] _0825_; + wire _0826_; + wire _0827_; + wire _0828_; + wire _0829_; + wire [63:0] _0830_; + wire _0831_; + wire _0832_; + wire [71:0] _0833_; + wire _0834_; + wire [64:0] _0835_; + wire _0836_; + wire _0837_; + wire _0838_; + wire [64:0] _0839_; + wire _0840_; + wire _0841_; + wire _0842_; + wire _0843_; + wire _0844_; + wire _0845_; + wire _0846_; + wire _0847_; + wire _0848_; + wire _0849_; + wire _0850_; + wire [191:0] _0851_; + wire _0852_; + wire _0853_; + wire _0854_; + wire [264:0] _0855_; + wire [31:0] _0856_; + wire [63:0] _0857_; + wire _0858_; + wire _0859_; + wire _0860_; + wire _0861_; + wire _0862_; + wire _0863_; + wire _0864_; + wire [191:0] _0865_; + wire _0866_; + wire _0867_; + wire _0868_; + wire [264:0] _0869_; + wire [31:0] _0870_; + wire [63:0] _0871_; + wire _0872_; + wire _0873_; + wire _0874_; + wire _0875_; + wire _0876_; + wire _0877_; + wire _0878_; + wire [191:0] _0879_; + wire _0880_; + wire _0881_; + wire _0882_; + wire _0883_; + wire [198:0] _0884_; + wire [63:0] _0885_; + wire [1:0] _0886_; + wire [31:0] _0887_; + wire [63:0] _0888_; + wire _0889_; + wire _0890_; + wire _0891_; + wire _0892_; + wire _0893_; + wire _0894_; + wire _0895_; + wire [191:0] _0896_; + wire _0897_; + wire _0898_; + wire [264:0] _0899_; + wire [31:0] _0900_; + wire [63:0] _0901_; + wire _0902_; + wire _0903_; + wire _0904_; + wire _0905_; + wire _0906_; + wire _0907_; + wire _0908_; + wire [191:0] _0909_; + wire _0910_; + wire _0911_; + wire _0912_; + wire [198:0] _0913_; + wire [63:0] _0914_; + wire [1:0] _0915_; + wire [31:0] _0916_; + wire [63:0] _0917_; + wire _0918_; + wire _0919_; + wire _0920_; + wire _0921_; + wire _0922_; + wire _0923_; + wire _0924_; + wire [63:0] _0925_; + wire [1:0] _0926_; + wire [1:0] _0927_; + wire [1:0] _0928_; + wire [1:0] _0929_; + wire [3:0] _0930_; + wire _0931_; + wire [2:0] _0932_; + wire [46:0] _0933_; + wire _0934_; + wire [63:0] _0935_; + wire _0936_; + wire _0937_; + wire _0938_; + wire _0939_; + wire [31:0] _0940_; + wire [63:0] _0941_; + wire _0942_; + wire _0943_; + wire _0944_; + wire _0945_; + wire _0946_; + wire _0947_; + wire _0948_; + wire _0949_; + wire _0950_; + wire _0951_; + wire _0952_; + wire _0953_; + wire _0954_; + wire [63:0] _0955_; + wire [63:0] _0956_; + wire _0957_; + wire _0958_; + wire _0959_; + wire [2:0] _0960_; + wire _0961_; + wire [1:0] _0962_; + wire [6:0] _0963_; + wire [6:0] _0964_; + wire [5:0] _0965_; + wire [5:0] _0966_; + wire _0967_; + wire _0968_; + wire _0969_; + wire _0970_; + wire _0971_; + wire [1:0] _0972_; + wire [6:0] _0973_; + wire [6:0] _0974_; + wire [5:0] _0975_; + wire [5:0] _0976_; + wire _0977_; + wire _0978_; + wire _0979_; + wire _0980_; + wire _0981_; + wire _0982_; + wire _0983_; + wire _0984_; + wire _0985_; + wire _0986_; + wire _0987_; + wire _0988_; + wire _0989_; + wire _0990_; + wire _0991_; + wire _0992_; + wire [63:0] _0993_; + wire _0994_; + wire _0995_; + wire _0996_; + wire [1:0] _0997_; + wire [6:0] _0998_; + wire [6:0] _0999_; + wire [5:0] _1000_; + wire [5:0] _1001_; + wire [63:0] _1002_; + wire _1003_; + wire [1:0] _1004_; + wire [6:0] _1005_; + wire [5:0] _1006_; + wire _1007_; + wire _1008_; + wire _1009_; + wire _1010_; + wire _1011_; + wire _1012_; + wire _1013_; + wire _1014_; + wire _1015_; + wire _1016_; + wire _1017_; + wire _1018_; + wire _1019_; + wire _1020_; + wire [2:0] _1021_; + wire [63:0] _1022_; + wire _1023_; + wire [1:0] _1024_; + wire [6:0] _1025_; + wire _1026_; + wire _1027_; + wire [4:0] _1028_; + wire _1029_; + wire _1030_; + wire _1031_; + wire _1032_; + wire _1033_; + wire _1034_; + wire _1035_; + wire _1036_; + wire _1037_; + wire _1038_; + wire _1039_; + wire _1040_; + wire _1041_; + wire _1042_; + wire [2:0] _1043_; + wire [63:0] _1044_; + wire _1045_; + wire _1046_; + wire _1047_; + wire _1048_; + wire [6:0] _1049_; + wire [6:0] _1050_; + wire [5:0] _1051_; + wire [5:0] _1052_; + wire _1053_; + wire _1054_; + wire _1055_; + wire _1056_; + wire _1057_; + wire _1058_; + wire _1059_; + wire _1060_; + wire _1061_; + wire _1062_; + wire _1063_; + wire _1064_; + wire _1065_; + wire _1066_; + wire [2:0] _1067_; + wire _1068_; + wire [64:0] _1069_; + wire [64:0] _1070_; + wire [64:0] _1071_; + wire [64:0] _1072_; + wire [64:0] _1073_; + wire [64:0] _1074_; + wire [18:0] _1075_; + wire [18:0] _1076_; + wire [18:0] _1077_; + wire [18:0] _1078_; + wire [18:0] _1079_; + wire [18:0] _1080_; + wire [63:0] _1081_; + wire _1082_; + wire _1083_; + wire _1084_; + wire [63:0] _1085_; + wire [63:0] _1086_; + wire [4:0] _1087_; + wire _1088_; + wire [63:0] _1089_; + wire [63:0] _1090_; + wire [63:0] _1091_; + wire _1092_; + wire _1093_; + wire _1094_; + wire [63:0] _1095_; + wire [63:0] _1096_; + wire [63:0] _1097_; + wire [6:0] _1098_; + wire [6:0] _1099_; + wire _1100_; + wire _1101_; + wire _1102_; + wire [40:0] _1103_; + wire _1104_; + wire _1105_; + wire _1106_; + wire _1107_; + wire _1108_; + wire [63:0] _1109_; + wire _1110_; + wire _1111_; + wire _1112_; + wire _1113_; + wire [1:0] _1114_; + wire _1115_; + wire _1116_; + wire _1117_; + wire _1118_; + wire [63:0] _1119_; + wire _1120_; + wire _1121_; + wire _1122_; + wire [1:0] _1123_; + wire _1124_; + wire _1125_; + wire _1126_; + wire _1127_; + wire [63:0] _1128_; + wire _1129_; + wire _1130_; + wire _1131_; + wire [1:0] _1132_; + wire _1133_; + wire _1134_; + wire _1135_; + wire _1136_; + wire [63:0] _1137_; + wire _1138_; + wire _1139_; + wire _1140_; + wire [1:0] _1141_; + wire _1142_; + wire _1143_; + wire _1144_; + wire _1145_; + wire _1146_; + wire _1147_; + wire _1148_; + wire _1149_; + wire _1150_; + wire [7:0] _1151_; + wire [63:0] _1152_; + wire _1153_; + wire _1154_; + wire [4:0] _1155_; + wire _1156_; + wire _1157_; + wire _1158_; + wire _1159_; + wire _1160_; + wire _1161_; + wire _1162_; + wire _1163_; + wire _1164_; + wire _1165_; + wire _1166_; + wire [63:0] _1167_; + wire _1168_; + wire _1169_; + wire _1170_; + wire _1171_; + wire _1172_; + wire _1173_; + wire _1174_; + wire _1175_; + wire _1176_; + wire _1177_; + wire _1178_; + wire _1179_; + wire _1180_; + wire _1181_; + wire _1182_; + wire _1183_; + wire _1184_; + wire _1185_; + wire _1186_; + wire _1187_; + wire _1188_; + wire _1189_; + wire _1190_; + wire _1191_; + wire _1192_; + wire _1193_; + wire _1194_; + wire _1195_; + wire _1196_; + wire _1197_; + wire _1198_; + wire _1199_; + wire _1200_; + wire _1201_; + wire _1202_; + wire _1203_; + wire _1204_; + wire _1205_; + wire _1206_; + wire _1207_; + wire _1208_; + wire _1209_; + wire _1210_; + wire _1211_; + wire _1212_; + wire _1213_; + wire _1214_; + wire _1215_; + wire _1216_; + wire _1217_; + wire _1218_; + wire _1219_; + wire _1220_; + wire _1221_; + wire _1222_; + wire _1223_; + wire _1224_; + wire _1225_; + wire _1226_; + wire _1227_; + wire _1228_; + wire _1229_; + wire _1230_; + wire _1231_; + wire _1232_; + wire _1233_; + wire _1234_; + wire _1235_; + wire _1236_; + wire _1237_; + wire _1238_; + wire _1239_; + wire _1240_; + wire _1241_; + wire _1242_; + wire _1243_; + wire _1244_; + wire _1245_; + wire _1246_; + wire _1247_; + wire _1248_; + wire _1249_; + wire _1250_; + wire _1251_; + wire _1252_; + wire _1253_; + wire _1254_; + wire _1255_; + wire _1256_; + wire _1257_; + wire _1258_; + wire _1259_; + wire _1260_; + wire _1261_; + wire _1262_; + wire _1263_; + wire _1264_; + wire _1265_; + wire _1266_; + wire _1267_; + wire _1268_; + wire _1269_; + wire _1270_; + wire _1271_; + wire _1272_; + wire _1273_; + wire _1274_; + wire _1275_; + wire _1276_; + wire _1277_; + wire _1278_; + wire _1279_; + wire _1280_; + wire _1281_; + wire _1282_; + wire _1283_; + wire _1284_; + wire _1285_; + wire _1286_; + wire _1287_; + wire _1288_; + wire _1289_; + wire _1290_; + wire _1291_; + wire _1292_; + wire _1293_; + wire _1294_; + wire _1295_; + wire _1296_; + wire _1297_; + wire _1298_; + wire _1299_; + wire _1300_; + wire _1301_; + wire _1302_; + wire _1303_; + wire _1304_; + wire _1305_; + wire _1306_; + wire _1307_; + wire _1308_; + wire _1309_; + wire _1310_; + wire _1311_; + wire _1312_; + wire _1313_; + wire _1314_; + wire _1315_; + wire _1316_; + wire _1317_; + wire _1318_; + wire _1319_; + wire _1320_; + wire _1321_; + wire _1322_; + wire _1323_; + wire _1324_; + wire _1325_; + wire _1326_; + wire _1327_; + wire _1328_; + wire _1329_; + wire _1330_; + wire _1331_; + wire _1332_; + wire _1333_; + wire _1334_; + wire _1335_; + wire _1336_; + wire _1337_; + wire _1338_; + wire _1339_; + wire _1340_; + wire _1341_; + wire _1342_; + wire _1343_; + wire _1344_; + wire _1345_; + wire _1346_; + wire _1347_; + wire _1348_; + wire _1349_; + wire _1350_; + wire _1351_; + wire _1352_; + wire _1353_; + wire _1354_; + wire _1355_; + wire _1356_; + wire _1357_; + wire _1358_; + wire _1359_; + wire _1360_; + wire _1361_; + wire _1362_; + wire _1363_; + wire _1364_; + wire _1365_; + wire _1366_; + wire _1367_; + wire _1368_; + wire _1369_; + wire _1370_; + wire _1371_; + wire _1372_; + wire _1373_; + wire _1374_; + wire _1375_; + wire _1376_; + wire _1377_; + wire _1378_; + wire _1379_; + wire _1380_; + wire _1381_; + wire _1382_; + wire _1383_; + wire _1384_; + wire _1385_; + wire _1386_; + wire _1387_; + wire _1388_; + wire _1389_; + wire _1390_; + wire _1391_; + wire _1392_; + wire _1393_; + wire _1394_; + wire [63:0] a_in; + wire [63:0] b_in; + output busy_out; + wire [63:0] c_in; + input clk; + wire [63:0] countzero_result; + wire [31:0] cr_in; + reg [320:0] ctrl = 321'h000000000000000000000000000000000000000000000000000000000000000000000000000000000; + output [63:0] dbg_msr_out; + wire [65:0] divider_to_x; + input [379:0] e_in; + output [193:0] e_out; + input ext_irq_in; + output [68:0] f_out; + output flush_out; + input [3:0] fp_in; + output [306:0] fp_out; + output icache_inval; + input [8:0] l_in; + output [325:0] l_out; + output [14:0] log_out; + output [31:0] log_rd_addr; + input [63:0] log_rd_data; + input [31:0] log_wr_addr; + wire [63:0] logical_result; + wire [129:0] multiply_to_x; + reg [455:0] r; + wire [63:0] random_cond; + wire random_err; + wire [63:0] random_raw; + wire right_shift; + wire rot_clear_left; + wire rot_clear_right; + wire rot_sign_ext; + wire rotator_carry; + wire [63:0] rotator_result; + input rst; + output terminate_out; + wire valid_in; + reg [0:0] \$mem$\14259 [63:0]; + reg [0:0] \14259 [63:0]; + initial begin + \14259 [0] = 1'h0; + \14259 [1] = 1'h0; + \14259 [2] = 1'h0; + \14259 [3] = 1'h0; + \14259 [4] = 1'h0; + \14259 [5] = 1'h1; + \14259 [6] = 1'h0; + \14259 [7] = 1'h0; + \14259 [8] = 1'h0; + \14259 [9] = 1'h0; + \14259 [10] = 1'h0; + \14259 [11] = 1'h0; + \14259 [12] = 1'h0; + \14259 [13] = 1'h0; + \14259 [14] = 1'h1; + \14259 [15] = 1'h0; + \14259 [16] = 1'h0; + \14259 [17] = 1'h0; + \14259 [18] = 1'h0; + \14259 [19] = 1'h0; + \14259 [20] = 1'h0; + \14259 [21] = 1'h0; + \14259 [22] = 1'h1; + \14259 [23] = 1'h0; + \14259 [24] = 1'h0; + \14259 [25] = 1'h0; + \14259 [26] = 1'h1; + \14259 [27] = 1'h0; + \14259 [28] = 1'h0; + \14259 [29] = 1'h0; + \14259 [30] = 1'h0; + \14259 [31] = 1'h0; + \14259 [32] = 1'h0; + \14259 [33] = 1'h0; + \14259 [34] = 1'h0; + \14259 [35] = 1'h0; + \14259 [36] = 1'h0; + \14259 [37] = 1'h0; + \14259 [38] = 1'h0; + \14259 [39] = 1'h0; + \14259 [40] = 1'h0; + \14259 [41] = 1'h0; + \14259 [42] = 1'h0; + \14259 [43] = 1'h0; + \14259 [44] = 1'h0; + \14259 [45] = 1'h0; + \14259 [46] = 1'h0; + \14259 [47] = 1'h0; + \14259 [48] = 1'h0; + \14259 [49] = 1'h0; + \14259 [50] = 1'h0; + \14259 [51] = 1'h0; + \14259 [52] = 1'h0; + \14259 [53] = 1'h0; + \14259 [54] = 1'h0; + \14259 [55] = 1'h0; + \14259 [56] = 1'h0; + \14259 [57] = 1'h0; + \14259 [58] = 1'h0; + \14259 [59] = 1'h1; + \14259 [60] = 1'h0; + \14259 [61] = 1'h0; + \14259 [62] = 1'h0; + \14259 [63] = 1'h0; + end + assign _1168_ = \14259 [_0112_]; + assign _1251_ = _0355_[0] ? cr_in[1] : cr_in[0]; + assign _1252_ = _0355_[0] ? cr_in[5] : cr_in[4]; + assign _1253_ = _0355_[0] ? cr_in[9] : cr_in[8]; + assign _1254_ = _0355_[0] ? cr_in[13] : cr_in[12]; + assign _1255_ = _0355_[0] ? cr_in[17] : cr_in[16]; + assign _1256_ = _0355_[0] ? cr_in[21] : cr_in[20]; + assign _1257_ = _0355_[0] ? cr_in[25] : cr_in[24]; + assign _1258_ = _0355_[0] ? cr_in[29] : cr_in[28]; + assign _1259_ = _0355_[2] ? _1170_ : _1169_; + assign _1260_ = _0355_[2] ? _1174_ : _1173_; + assign _1261_ = _0373_[0] ? cr_in[1] : cr_in[0]; + assign _1262_ = _0373_[0] ? cr_in[5] : cr_in[4]; + assign _1263_ = _0373_[0] ? cr_in[9] : cr_in[8]; + assign _1264_ = _0373_[0] ? cr_in[13] : cr_in[12]; + assign _1265_ = _0373_[0] ? cr_in[17] : cr_in[16]; + assign _1266_ = _0373_[0] ? cr_in[21] : cr_in[20]; + assign _1267_ = _0373_[0] ? cr_in[25] : cr_in[24]; + assign _1268_ = _0373_[0] ? cr_in[29] : cr_in[28]; + assign _1269_ = _0373_[2] ? _1181_ : _1180_; + assign _1270_ = _0373_[2] ? _1185_ : _1184_; + assign _1271_ = _0392_[0] ? cr_in[1] : cr_in[0]; + assign _1272_ = _0392_[0] ? cr_in[5] : cr_in[4]; + assign _1273_ = _0392_[0] ? cr_in[9] : cr_in[8]; + assign _1274_ = _0392_[0] ? cr_in[13] : cr_in[12]; + assign _1275_ = _0392_[0] ? cr_in[17] : cr_in[16]; + assign _1276_ = _0392_[0] ? cr_in[21] : cr_in[20]; + assign _1277_ = _0392_[0] ? cr_in[25] : cr_in[24]; + assign _1278_ = _0392_[0] ? cr_in[29] : cr_in[28]; + assign _1279_ = _0392_[2] ? _1192_ : _1191_; + assign _1280_ = _0392_[2] ? _1196_ : _1195_; + assign _1281_ = _0422_[0] ? cr_in[1] : cr_in[0]; + assign _1282_ = _0422_[0] ? cr_in[5] : cr_in[4]; + assign _1283_ = _0422_[0] ? cr_in[9] : cr_in[8]; + assign _1284_ = _0422_[0] ? cr_in[13] : cr_in[12]; + assign _1285_ = _0422_[0] ? cr_in[17] : cr_in[16]; + assign _1286_ = _0422_[0] ? cr_in[21] : cr_in[20]; + assign _1287_ = _0422_[0] ? cr_in[25] : cr_in[24]; + assign _1288_ = _0422_[0] ? cr_in[29] : cr_in[28]; + assign _1289_ = _0422_[2] ? _1203_ : _1202_; + assign _1290_ = _0422_[2] ? _1207_ : _1206_; + assign _1291_ = _0423_[0] ? cr_in[1] : cr_in[0]; + assign _1292_ = _0423_[0] ? cr_in[5] : cr_in[4]; + assign _1293_ = _0423_[0] ? cr_in[9] : cr_in[8]; + assign _1294_ = _0423_[0] ? cr_in[13] : cr_in[12]; + assign _1295_ = _0423_[0] ? cr_in[17] : cr_in[16]; + assign _1296_ = _0423_[0] ? cr_in[21] : cr_in[20]; + assign _1297_ = _0423_[0] ? cr_in[25] : cr_in[24]; + assign _1298_ = _0423_[0] ? cr_in[29] : cr_in[28]; + assign _1299_ = _0423_[2] ? _1214_ : _1213_; + assign _1300_ = _0423_[2] ? _1218_ : _1217_; + assign _1301_ = _0424_[0] ? e_in[341] : e_in[340]; + assign _1302_ = _0424_[0] ? e_in[345] : e_in[344]; + assign _1303_ = _0737_[0] ? cr_in[1] : cr_in[0]; + assign _1304_ = _0737_[0] ? cr_in[5] : cr_in[4]; + assign _1305_ = _0737_[0] ? cr_in[9] : cr_in[8]; + assign _1306_ = _0737_[0] ? cr_in[13] : cr_in[12]; + assign _1307_ = _0737_[0] ? cr_in[17] : cr_in[16]; + assign _1308_ = _0737_[0] ? cr_in[21] : cr_in[20]; + assign _1309_ = _0737_[0] ? cr_in[25] : cr_in[24]; + assign _1310_ = _0737_[0] ? cr_in[29] : cr_in[28]; + assign _1311_ = _0737_[2] ? _1230_ : _1229_; + assign _1312_ = _0737_[2] ? _1234_ : _1233_; + assign _1313_ = _0738_[0] ? cr_in[1] : cr_in[0]; + assign _1314_ = _0738_[0] ? cr_in[5] : cr_in[4]; + assign _1315_ = _0738_[0] ? cr_in[9] : cr_in[8]; + assign _1316_ = _0738_[0] ? cr_in[13] : cr_in[12]; + assign _1317_ = _0738_[0] ? cr_in[17] : cr_in[16]; + assign _1318_ = _0738_[0] ? cr_in[21] : cr_in[20]; + assign _1319_ = _0738_[0] ? cr_in[25] : cr_in[24]; + assign _1320_ = _0738_[0] ? cr_in[29] : cr_in[28]; + assign _1321_ = _0738_[2] ? _1241_ : _1240_; + assign _1322_ = _0738_[2] ? _1245_ : _1244_; + assign _1323_ = _0355_[0] ? cr_in[3] : cr_in[2]; + assign _1324_ = _0355_[0] ? cr_in[7] : cr_in[6]; + assign _1325_ = _0355_[0] ? cr_in[11] : cr_in[10]; + assign _1326_ = _0355_[0] ? cr_in[15] : cr_in[14]; + assign _1327_ = _0355_[0] ? cr_in[19] : cr_in[18]; + assign _1328_ = _0355_[0] ? cr_in[23] : cr_in[22]; + assign _1329_ = _0355_[0] ? cr_in[27] : cr_in[26]; + assign _1330_ = _0355_[0] ? cr_in[31] : cr_in[30]; + assign _1331_ = _0355_[2] ? _1172_ : _1171_; + assign _1332_ = _0355_[2] ? _1176_ : _1175_; + assign _1333_ = _0373_[0] ? cr_in[3] : cr_in[2]; + assign _1334_ = _0373_[0] ? cr_in[7] : cr_in[6]; + assign _1335_ = _0373_[0] ? cr_in[11] : cr_in[10]; + assign _1336_ = _0373_[0] ? cr_in[15] : cr_in[14]; + assign _1337_ = _0373_[0] ? cr_in[19] : cr_in[18]; + assign _1338_ = _0373_[0] ? cr_in[23] : cr_in[22]; + assign _1339_ = _0373_[0] ? cr_in[27] : cr_in[26]; + assign _1340_ = _0373_[0] ? cr_in[31] : cr_in[30]; + assign _1341_ = _0373_[2] ? _1183_ : _1182_; + assign _1342_ = _0373_[2] ? _1187_ : _1186_; + assign _1343_ = _0392_[0] ? cr_in[3] : cr_in[2]; + assign _1344_ = _0392_[0] ? cr_in[7] : cr_in[6]; + assign _1345_ = _0392_[0] ? cr_in[11] : cr_in[10]; + assign _1346_ = _0392_[0] ? cr_in[15] : cr_in[14]; + assign _1347_ = _0392_[0] ? cr_in[19] : cr_in[18]; + assign _1348_ = _0392_[0] ? cr_in[23] : cr_in[22]; + assign _1349_ = _0392_[0] ? cr_in[27] : cr_in[26]; + assign _1350_ = _0392_[0] ? cr_in[31] : cr_in[30]; + assign _1351_ = _0392_[2] ? _1194_ : _1193_; + assign _1352_ = _0392_[2] ? _1198_ : _1197_; + assign _1353_ = _0422_[0] ? cr_in[3] : cr_in[2]; + assign _1354_ = _0422_[0] ? cr_in[7] : cr_in[6]; + assign _1355_ = _0422_[0] ? cr_in[11] : cr_in[10]; + assign _1356_ = _0422_[0] ? cr_in[15] : cr_in[14]; + assign _1357_ = _0422_[0] ? cr_in[19] : cr_in[18]; + assign _1358_ = _0422_[0] ? cr_in[23] : cr_in[22]; + assign _1359_ = _0422_[0] ? cr_in[27] : cr_in[26]; + assign _1360_ = _0422_[0] ? cr_in[31] : cr_in[30]; + assign _1361_ = _0422_[2] ? _1205_ : _1204_; + assign _1362_ = _0422_[2] ? _1209_ : _1208_; + assign _1363_ = _0423_[0] ? cr_in[3] : cr_in[2]; + assign _1364_ = _0423_[0] ? cr_in[7] : cr_in[6]; + assign _1365_ = _0423_[0] ? cr_in[11] : cr_in[10]; + assign _1366_ = _0423_[0] ? cr_in[15] : cr_in[14]; + assign _1367_ = _0423_[0] ? cr_in[19] : cr_in[18]; + assign _1368_ = _0423_[0] ? cr_in[23] : cr_in[22]; + assign _1369_ = _0423_[0] ? cr_in[27] : cr_in[26]; + assign _1370_ = _0423_[0] ? cr_in[31] : cr_in[30]; + assign _1371_ = _0423_[2] ? _1216_ : _1215_; + assign _1372_ = _0423_[2] ? _1220_ : _1219_; + assign _1373_ = _0424_[0] ? e_in[343] : e_in[342]; + assign _1374_ = _0424_[0] ? e_in[347] : e_in[346]; + assign _1375_ = _0737_[0] ? cr_in[3] : cr_in[2]; + assign _1376_ = _0737_[0] ? cr_in[7] : cr_in[6]; + assign _1377_ = _0737_[0] ? cr_in[11] : cr_in[10]; + assign _1378_ = _0737_[0] ? cr_in[15] : cr_in[14]; + assign _1379_ = _0737_[0] ? cr_in[19] : cr_in[18]; + assign _1380_ = _0737_[0] ? cr_in[23] : cr_in[22]; + assign _1381_ = _0737_[0] ? cr_in[27] : cr_in[26]; + assign _1382_ = _0737_[0] ? cr_in[31] : cr_in[30]; + assign _1383_ = _0737_[2] ? _1232_ : _1231_; + assign _1384_ = _0737_[2] ? _1236_ : _1235_; + assign _1385_ = _0738_[0] ? cr_in[3] : cr_in[2]; + assign _1386_ = _0738_[0] ? cr_in[7] : cr_in[6]; + assign _1387_ = _0738_[0] ? cr_in[11] : cr_in[10]; + assign _1388_ = _0738_[0] ? cr_in[15] : cr_in[14]; + assign _1389_ = _0738_[0] ? cr_in[19] : cr_in[18]; + assign _1390_ = _0738_[0] ? cr_in[23] : cr_in[22]; + assign _1391_ = _0738_[0] ? cr_in[27] : cr_in[26]; + assign _1392_ = _0738_[0] ? cr_in[31] : cr_in[30]; + assign _1393_ = _0738_[2] ? _1243_ : _1242_; + assign _1394_ = _0738_[2] ? _1247_ : _1246_; + assign _1169_ = _0355_[1] ? _1323_ : _1251_; + assign _1170_ = _0355_[1] ? _1324_ : _1252_; + assign _1171_ = _0355_[1] ? _1325_ : _1253_; + assign _1172_ = _0355_[1] ? _1326_ : _1254_; + assign _1173_ = _0355_[1] ? _1327_ : _1255_; + assign _1174_ = _0355_[1] ? _1328_ : _1256_; + assign _1175_ = _0355_[1] ? _1329_ : _1257_; + assign _1176_ = _0355_[1] ? _1330_ : _1258_; + assign _1177_ = _0355_[3] ? _1331_ : _1259_; + assign _1178_ = _0355_[3] ? _1332_ : _1260_; + assign _1180_ = _0373_[1] ? _1333_ : _1261_; + assign _1181_ = _0373_[1] ? _1334_ : _1262_; + assign _1182_ = _0373_[1] ? _1335_ : _1263_; + assign _1183_ = _0373_[1] ? _1336_ : _1264_; + assign _1184_ = _0373_[1] ? _1337_ : _1265_; + assign _1185_ = _0373_[1] ? _1338_ : _1266_; + assign _1186_ = _0373_[1] ? _1339_ : _1267_; + assign _1187_ = _0373_[1] ? _1340_ : _1268_; + assign _1188_ = _0373_[3] ? _1341_ : _1269_; + assign _1189_ = _0373_[3] ? _1342_ : _1270_; + assign _1191_ = _0392_[1] ? _1343_ : _1271_; + assign _1192_ = _0392_[1] ? _1344_ : _1272_; + assign _1193_ = _0392_[1] ? _1345_ : _1273_; + assign _1194_ = _0392_[1] ? _1346_ : _1274_; + assign _1195_ = _0392_[1] ? _1347_ : _1275_; + assign _1196_ = _0392_[1] ? _1348_ : _1276_; + assign _1197_ = _0392_[1] ? _1349_ : _1277_; + assign _1198_ = _0392_[1] ? _1350_ : _1278_; + assign _1199_ = _0392_[3] ? _1351_ : _1279_; + assign _1200_ = _0392_[3] ? _1352_ : _1280_; + assign _1202_ = _0422_[1] ? _1353_ : _1281_; + assign _1203_ = _0422_[1] ? _1354_ : _1282_; + assign _1204_ = _0422_[1] ? _1355_ : _1283_; + assign _1205_ = _0422_[1] ? _1356_ : _1284_; + assign _1206_ = _0422_[1] ? _1357_ : _1285_; + assign _1207_ = _0422_[1] ? _1358_ : _1286_; + assign _1208_ = _0422_[1] ? _1359_ : _1287_; + assign _1209_ = _0422_[1] ? _1360_ : _1288_; + assign _1210_ = _0422_[3] ? _1361_ : _1289_; + assign _1211_ = _0422_[3] ? _1362_ : _1290_; + assign _1213_ = _0423_[1] ? _1363_ : _1291_; + assign _1214_ = _0423_[1] ? _1364_ : _1292_; + assign _1215_ = _0423_[1] ? _1365_ : _1293_; + assign _1216_ = _0423_[1] ? _1366_ : _1294_; + assign _1217_ = _0423_[1] ? _1367_ : _1295_; + assign _1218_ = _0423_[1] ? _1368_ : _1296_; + assign _1219_ = _0423_[1] ? _1369_ : _1297_; + assign _1220_ = _0423_[1] ? _1370_ : _1298_; + assign _1221_ = _0423_[3] ? _1371_ : _1299_; + assign _1222_ = _0423_[3] ? _1372_ : _1300_; + assign _1224_ = _0424_[1] ? _1373_ : _1301_; + assign _1225_ = _0424_[1] ? _1374_ : _1302_; + assign _1229_ = _0737_[1] ? _1375_ : _1303_; + assign _1230_ = _0737_[1] ? _1376_ : _1304_; + assign _1231_ = _0737_[1] ? _1377_ : _1305_; + assign _1232_ = _0737_[1] ? _1378_ : _1306_; + assign _1233_ = _0737_[1] ? _1379_ : _1307_; + assign _1234_ = _0737_[1] ? _1380_ : _1308_; + assign _1235_ = _0737_[1] ? _1381_ : _1309_; + assign _1236_ = _0737_[1] ? _1382_ : _1310_; + assign _1237_ = _0737_[3] ? _1383_ : _1311_; + assign _1238_ = _0737_[3] ? _1384_ : _1312_; + assign _1240_ = _0738_[1] ? _1385_ : _1313_; + assign _1241_ = _0738_[1] ? _1386_ : _1314_; + assign _1242_ = _0738_[1] ? _1387_ : _1315_; + assign _1243_ = _0738_[1] ? _1388_ : _1316_; + assign _1244_ = _0738_[1] ? _1389_ : _1317_; + assign _1245_ = _0738_[1] ? _1390_ : _1318_; + assign _1246_ = _0738_[1] ? _1391_ : _1319_; + assign _1247_ = _0738_[1] ? _1392_ : _1320_; + assign _1248_ = _0738_[3] ? _1393_ : _1321_; + assign _1249_ = _0738_[3] ? _1394_ : _1322_; + assign _0012_ = r[116] ? r[121:117] : e_in[326:322]; + assign _0013_ = 1'h1 & e_in[321]; + assign _0014_ = _0013_ & r[75]; + assign _0015_ = r[76] ? r[87:84] : e_in[292:289]; + assign _0016_ = r[77] ? r[91:88] : e_in[296:293]; + assign _0017_ = r[78] ? r[95:92] : e_in[300:297]; + assign _0018_ = r[79] ? r[99:96] : e_in[304:301]; + assign _0019_ = r[80] ? r[103:100] : e_in[308:305]; + assign _0020_ = r[81] ? r[107:104] : e_in[312:309]; + assign _0021_ = r[82] ? r[111:108] : e_in[316:313]; + assign _0022_ = r[83] ? r[115:112] : e_in[320:317]; + assign cr_in = _0014_ ? { _0022_, _0021_, _0020_, _0019_, _0018_, _0017_, _0016_, _0015_ } : e_in[320:289]; + assign _0023_ = ~ e_in[330]; + assign _0024_ = ~ a_in; + assign _0025_ = _0023_ ? a_in : _0024_; + assign _0026_ = e_in[333:332] == 2'h0; + assign _0027_ = e_in[333:332] == 2'h1; + assign _0028_ = e_in[333:332] == 2'h2; + assign _0029_ = e_in[333:332] == 2'h3; + function [0:0] \10097 ; + input [0:0] a; + input [3:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \10097 = b[0:0]; + 4'b??1?: + \10097 = b[1:1]; + 4'b?1??: + \10097 = b[2:2]; + 4'b1???: + \10097 = b[3:3]; + default: + \10097 = a; + endcase + endfunction + assign _0030_ = \10097 (1'hx, { 1'h1, _0012_[2], _0012_[0], 1'h0 }, { _0029_, _0028_, _0027_, _0026_ }); + assign _0031_ = { 1'h0, _0025_ } + { 1'h0, b_in }; + assign _0032_ = _0031_ + { 64'h0000000000000000, _0030_ }; + assign _0033_ = e_in[337] ? a_in[31] : a_in[63]; + assign _0034_ = e_in[337] ? b_in[31] : b_in[63]; + assign _0035_ = e_in[338] ? _0033_ : 1'h0; + assign _0036_ = e_in[338] ? _0034_ : 1'h0; + assign _0037_ = ~ _0035_; + assign _0038_ = - $signed(a_in); + assign _0039_ = _0037_ ? a_in : _0038_; + assign _0040_ = ~ _0036_; + assign _0041_ = - $signed(b_in); + assign _0042_ = _0040_ ? b_in : _0041_; + assign _0043_ = e_in[8:3] == 6'h27; + assign _0044_ = _0043_ ? 1'h1 : 1'h0; + assign _0045_ = ~ e_in[365]; + assign _0046_ = e_in[338] ? { c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63], c_in[63] } : 64'h0000000000000000; + assign _0047_ = _0045_ ? { _0046_, c_in } : 128'h00000000000000000000000000000000; + assign _0048_ = _0035_ ^ _0036_; + assign _0049_ = ~ _0047_; + assign _0050_ = _0048_ ? _0049_ : _0047_; + assign _0051_ = _0035_ ^ _0036_; + assign _0052_ = ~ _0044_; + assign _0053_ = _0036_ & _0052_; + assign _0054_ = _0035_ ^ _0053_; + assign _0055_ = ~ e_in[337]; + assign _0056_ = e_in[8:3] == 6'h16; + assign _0057_ = _0056_ ? 1'h1 : 1'h0; + assign _0058_ = e_in[8:3] == 6'h16; + assign _0059_ = _0058_ ? { _0039_[31:0], 32'h00000000 } : { 32'h00000000, _0039_[31:0] }; + assign _0060_ = _0055_ ? { _0042_, _0039_ } : { 32'h00000000, _0042_[31:0], 32'h00000000, _0039_[31:0] }; + assign _0061_ = _0055_ ? { _0042_, _0039_ } : { 32'h00000000, _0042_[31:0], _0059_ }; + assign _0062_ = _0055_ ? _0057_ : 1'h0; + assign _0063_ = ctrl[63:0] + 64'h0000000000000001; + assign _0064_ = ctrl[127:64] - 64'h0000000000000001; + assign _0065_ = ext_irq_in ? 64'h0000000000000500 : r[262:199]; + assign _0066_ = ext_irq_in ? 1'h1 : 1'h0; + assign _0067_ = ctrl[127] ? 64'h0000000000000900 : _0065_; + assign _0068_ = ctrl[127] ? 1'h1 : _0066_; + assign _0069_ = ctrl[143] ? _0067_ : r[262:199]; + assign _0070_ = ctrl[143] ? _0068_ : 1'h0; + assign _0071_ = ~ ctrl[142]; + assign _0072_ = ~ ctrl[128]; + assign _0073_ = ~ ctrl[191]; + assign _0074_ = e_in[72:9] + 64'h0000000000000004; + assign _0075_ = e_in[8:3] == 6'h38; + assign right_shift = _0075_ ? 1'h1 : 1'h0; + assign _0076_ = e_in[8:3] == 6'h32; + assign _0077_ = e_in[8:3] == 6'h33; + assign _0078_ = _0076_ | _0077_; + assign rot_clear_left = _0078_ ? 1'h1 : 1'h0; + assign _0079_ = e_in[8:3] == 6'h32; + assign _0080_ = e_in[8:3] == 6'h34; + assign _0081_ = _0079_ | _0080_; + assign rot_clear_right = _0081_ ? 1'h1 : 1'h0; + assign _0082_ = e_in[8:3] == 6'h18; + assign rot_sign_ext = _0082_ ? 1'h1 : 1'h0; + assign _0083_ = valid_in ? e_in[72:9] : r[423:360]; + assign _0084_ = valid_in ? e_in[72:9] : r[423:360]; + assign _0085_ = ~ ctrl[191]; + assign _0086_ = valid_in & ctrl[138]; + assign _0087_ = valid_in ? e_in[8:3] : r[272:267]; + assign _0088_ = ctrl[256] == 1'h1; + assign _0089_ = 1'h0 | r[266]; + assign _0090_ = valid_in & _0089_; + assign _0091_ = r[272:267] == 6'h1f; + assign _0092_ = r[272:267] == 6'h1b; + assign _0093_ = _0091_ | _0092_; + assign _0094_ = r[272:267] == 6'h1c; + assign _0095_ = _0093_ | _0094_; + assign _0096_ = r[272:267] == 6'h12; + assign _0097_ = _0095_ | _0096_; + assign _0098_ = r[272:267] == 6'h11; + assign _0099_ = _0097_ | _0098_; + assign _0100_ = r[272:267] == 6'h10; + assign _0101_ = _0099_ | _0100_; + assign _0102_ = r[272:267] == 6'h20; + assign _0103_ = r[272:267] == 6'h14; + assign _0104_ = _0102_ | _0103_; + assign _0105_ = r[272:267] == 6'h13; + assign _0106_ = _0104_ | _0105_; + assign _0107_ = _0106_ ? 1'h1 : 1'h0; + assign _0108_ = _0101_ ? 1'h0 : _0107_; + assign _0109_ = _0101_ ? 1'h1 : 1'h0; + assign _0110_ = _0070_ & valid_in; + assign _0111_ = valid_in & ctrl[142]; + assign _0112_ = 6'h3f - e_in[8:3]; + assign _0113_ = _1168_ == 1'h1; + assign _0114_ = e_in[8:3] == 6'h26; + assign _0115_ = e_in[8:3] == 6'h2a; + assign _0116_ = _0114_ | _0115_; + assign _0117_ = _0116_ ? e_in[359] : 1'h0; + assign _0118_ = _0113_ ? 1'h1 : _0117_; + assign _0119_ = _0111_ & _0118_; + assign _0120_ = 1'h1 & valid_in; + assign _0121_ = e_in[8:3] == 6'h21; + assign _0122_ = e_in[8:3] == 6'h22; + assign _0123_ = _0121_ | _0122_; + assign _0124_ = _0120_ & _0123_; + assign _0125_ = e_in[2:1] == 2'h1; + assign _0126_ = valid_in & _0125_; + assign _0127_ = e_in[8:3] == 6'h00; + assign _0128_ = e_in[340] ? 64'h0000000000000c00 : _0069_; + assign _0129_ = e_in[340] ? 1'h1 : 1'h0; + assign _0130_ = e_in[340] ? 1'h1 : 1'h0; + assign _0131_ = e_in[340] ? 1'h0 : 1'h1; + assign _0132_ = e_in[8:3] == 6'h35; + assign _0133_ = e_in[349:340] == 10'h100; + assign _0134_ = _0133_ ? 1'h1 : 1'h0; + assign _0135_ = _0133_ ? 1'h0 : 1'h1; + assign _0136_ = e_in[8:3] == 6'h04; + assign _0137_ = e_in[8:3] == 6'h01; + assign _0138_ = e_in[8:3] == 6'h10; + assign _0139_ = _0137_ | _0138_; + assign _0140_ = e_in[8:3] == 6'h11; + assign _0141_ = _0139_ | _0140_; + assign _0142_ = e_in[8:3] == 6'h12; + assign _0143_ = _0141_ | _0142_; + assign _0144_ = e_in[8:3] == 6'h13; + assign _0145_ = _0143_ | _0144_; + assign _0146_ = e_in[8:3] == 6'h1c; + assign _0147_ = _0145_ | _0146_; + assign _0148_ = _0032_[32] ^ _0025_[32]; + assign _0149_ = _0148_ ^ b_in[32]; + assign _0150_ = e_in[8:3] == 6'h02; + assign _0151_ = e_in[333:332] != 2'h2; + assign _0152_ = _0151_ ? { 105'h000000000000000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 } : { 105'h000000000000000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 }; + assign _0153_ = _0151_ ? 1'h1 : 1'h1; + assign _0154_ = r[116] ? r[118:117] : e_in[323:322]; + assign _0155_ = _0151_ ? { _0149_, _0032_[64] } : _0154_; + assign _0156_ = _0151_ ? _0012_[3:2] : { _0149_, _0032_[64] }; + assign _0157_ = r[116] ? r[121] : e_in[326]; + assign _0158_ = _0151_ ? { _0083_, 8'h44, _0012_[4] } : { _0083_, 8'h44, _0157_ }; + assign _0159_ = e_in[334] ? { _0158_, _0156_, _0155_, _0153_, _0152_ } : { _0083_, 8'h44, _0012_, 106'h000000000000000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 }; + assign _0160_ = _0032_[64] ^ _0032_[63]; + assign _0161_ = _0025_[63] ^ b_in[63]; + assign _0162_ = ~ _0161_; + assign _0163_ = _0160_ & _0162_; + assign _0164_ = _0149_ ^ _0032_[31]; + assign _0165_ = _0025_[31] ^ b_in[31]; + assign _0166_ = ~ _0165_; + assign _0167_ = _0164_ & _0166_; + assign _0168_ = _0163_ ? 1'h1 : _0159_[121]; + assign _0169_ = e_in[329] ? { _0159_[193:122], _0168_, _0167_, _0163_, _0159_[118:117], 1'h1, _0159_[115:0] } : _0159_; + assign _0170_ = e_in[8:3] == 6'h09; + assign _0171_ = ~ e_in[337]; + assign _0172_ = _0170_ ? e_in[360] : _0171_; + assign _0173_ = a_in[31:0] ^ b_in[31:0]; + assign _0174_ = | _0173_; + assign _0175_ = ~ _0174_; + assign _0176_ = a_in[63:32] ^ b_in[63:32]; + assign _0177_ = | _0176_; + assign _0178_ = ~ _0177_; + assign _0179_ = ~ _0172_; + assign _0180_ = _0179_ | _0178_; + assign _0181_ = _0175_ & _0180_; + assign _0182_ = _0172_ ? a_in[63] : a_in[31]; + assign _0183_ = _0172_ ? b_in[63] : b_in[31]; + assign _0184_ = _0182_ != _0183_; + assign _0185_ = ~ _0172_; + assign _0186_ = _0185_ & _0149_; + assign _0187_ = _0172_ & _0032_[64]; + assign _0188_ = _0186_ | _0187_; + assign _0189_ = ~ _0188_; + assign _0190_ = ~ _0188_; + assign _0191_ = _0184_ ? { _0182_, _0183_, 1'h0, _0183_, _0182_ } : { _0188_, _0189_, 1'h0, _0188_, _0190_ }; + assign _0192_ = _0181_ ? 5'h04 : _0191_; + assign _0193_ = e_in[8:3] == 6'h09; + assign _0194_ = e_in[338] ? { _0192_[4:2], _0012_[4] } : { _0192_[1:0], _0192_[2], _0012_[4] }; + assign _0195_ = e_in[364:362] == 3'h0; + assign _0196_ = e_in[364:362] == 3'h1; + assign _0197_ = e_in[364:362] == 3'h2; + assign _0198_ = e_in[364:362] == 3'h3; + assign _0199_ = e_in[364:362] == 3'h4; + assign _0200_ = e_in[364:362] == 3'h5; + assign _0201_ = e_in[364:362] == 3'h6; + assign _0202_ = e_in[364:362] == 3'h7; + function [7:0] \10795 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \10795 = b[7:0]; + 8'b??????1?: + \10795 = b[15:8]; + 8'b?????1??: + \10795 = b[23:16]; + 8'b????1???: + \10795 = b[31:24]; + 8'b???1????: + \10795 = b[39:32]; + 8'b??1?????: + \10795 = b[47:40]; + 8'b?1??????: + \10795 = b[55:48]; + 8'b1???????: + \10795 = b[63:56]; + default: + \10795 = a; + endcase + endfunction + assign _0203_ = \10795 (8'h00, 64'h0102040810204080, { _0202_, _0201_, _0200_, _0199_, _0198_, _0197_, _0196_, _0195_ }); + assign _0204_ = _0192_ & e_in[364:360]; + assign _0205_ = | _0204_; + assign _0206_ = _0205_ ? 1'h1 : 1'h0; + assign _0207_ = _0193_ ? 1'h0 : 1'h1; + assign _0208_ = _0193_ ? { _0194_, _0194_, _0194_, _0194_, _0194_, _0194_, _0194_, _0194_, _0203_, 1'h1 } : 41'h00000000000; + assign _0209_ = _0193_ ? _0069_ : 64'h0000000000000700; + assign _0210_ = _0193_ ? 1'h0 : _0206_; + assign _0211_ = _0150_ ? 1'h0 : _0207_; + assign _0212_ = _0150_ ? _0169_[74:0] : { 64'h0000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 }; + assign _0213_ = _0150_ ? _0169_[115:75] : _0208_; + assign _0214_ = _0150_ ? _0169_[193:116] : { _0083_, 8'h44, _0012_, 1'h0 }; + assign _0215_ = _0150_ ? _0069_ : _0209_; + assign _0216_ = _0150_ ? 1'h1 : 1'h0; + assign _0217_ = _0150_ ? 1'h0 : _0210_; + assign _0218_ = e_in[8:3] == 6'h02; + assign _0219_ = e_in[8:3] == 6'h09; + assign _0220_ = _0218_ | _0219_; + assign _0221_ = e_in[8:3] == 6'h3b; + assign _0222_ = _0220_ | _0221_; + assign _0223_ = a_in[4] ^ b_in[4]; + assign _0224_ = _0223_ ^ _0032_[4]; + assign _0225_ = ~ _0224_; + assign _0226_ = _0225_ ? 4'h6 : 4'h0; + assign _0227_ = a_in[8] ^ b_in[8]; + assign _0228_ = _0227_ ^ _0032_[8]; + assign _0229_ = ~ _0228_; + assign _0230_ = _0229_ ? 4'h6 : 4'h0; + assign _0231_ = a_in[12] ^ b_in[12]; + assign _0232_ = _0231_ ^ _0032_[12]; + assign _0233_ = ~ _0232_; + assign _0234_ = _0233_ ? 4'h6 : 4'h0; + assign _0235_ = a_in[16] ^ b_in[16]; + assign _0236_ = _0235_ ^ _0032_[16]; + assign _0237_ = ~ _0236_; + assign _0238_ = _0237_ ? 4'h6 : 4'h0; + assign _0239_ = a_in[20] ^ b_in[20]; + assign _0240_ = _0239_ ^ _0032_[20]; + assign _0241_ = ~ _0240_; + assign _0242_ = _0241_ ? 4'h6 : 4'h0; + assign _0243_ = a_in[24] ^ b_in[24]; + assign _0244_ = _0243_ ^ _0032_[24]; + assign _0245_ = ~ _0244_; + assign _0246_ = _0245_ ? 4'h6 : 4'h0; + assign _0247_ = a_in[28] ^ b_in[28]; + assign _0248_ = _0247_ ^ _0032_[28]; + assign _0249_ = ~ _0248_; + assign _0250_ = _0249_ ? 4'h6 : 4'h0; + assign _0251_ = a_in[32] ^ b_in[32]; + assign _0252_ = _0251_ ^ _0032_[32]; + assign _0253_ = ~ _0252_; + assign _0254_ = _0253_ ? 4'h6 : 4'h0; + assign _0255_ = a_in[36] ^ b_in[36]; + assign _0256_ = _0255_ ^ _0032_[36]; + assign _0257_ = ~ _0256_; + assign _0258_ = _0257_ ? 4'h6 : 4'h0; + assign _0259_ = a_in[40] ^ b_in[40]; + assign _0260_ = _0259_ ^ _0032_[40]; + assign _0261_ = ~ _0260_; + assign _0262_ = _0261_ ? 4'h6 : 4'h0; + assign _0263_ = a_in[44] ^ b_in[44]; + assign _0264_ = _0263_ ^ _0032_[44]; + assign _0265_ = ~ _0264_; + assign _0266_ = _0265_ ? 4'h6 : 4'h0; + assign _0267_ = a_in[48] ^ b_in[48]; + assign _0268_ = _0267_ ^ _0032_[48]; + assign _0269_ = ~ _0268_; + assign _0270_ = _0269_ ? 4'h6 : 4'h0; + assign _0271_ = a_in[52] ^ b_in[52]; + assign _0272_ = _0271_ ^ _0032_[52]; + assign _0273_ = ~ _0272_; + assign _0274_ = _0273_ ? 4'h6 : 4'h0; + assign _0275_ = a_in[56] ^ b_in[56]; + assign _0276_ = _0275_ ^ _0032_[56]; + assign _0277_ = ~ _0276_; + assign _0278_ = _0277_ ? 4'h6 : 4'h0; + assign _0279_ = a_in[60] ^ b_in[60]; + assign _0280_ = _0279_ ^ _0032_[60]; + assign _0281_ = ~ _0280_; + assign _0282_ = _0281_ ? 4'h6 : 4'h0; + assign _0283_ = ~ _0032_[64]; + assign _0284_ = _0283_ ? 4'h6 : 4'h0; + assign _0285_ = e_in[8:3] == 6'h3e; + assign _0286_ = a_in[7:0] >= b_in[7:0]; + assign _0287_ = a_in[7:0] <= b_in[15:8]; + assign _0288_ = _0286_ & _0287_; + assign _0289_ = a_in[7:0] >= b_in[23:16]; + assign _0290_ = e_in[360] & _0289_; + assign _0291_ = a_in[7:0] <= b_in[31:24]; + assign _0292_ = _0290_ & _0291_; + assign _0293_ = _0292_ ? 1'h1 : 1'h0; + assign _0294_ = _0288_ ? 1'h1 : _0293_; + assign _0295_ = e_in[364:362] == 3'h0; + assign _0296_ = e_in[364:362] == 3'h1; + assign _0297_ = e_in[364:362] == 3'h2; + assign _0298_ = e_in[364:362] == 3'h3; + assign _0299_ = e_in[364:362] == 3'h4; + assign _0300_ = e_in[364:362] == 3'h5; + assign _0301_ = e_in[364:362] == 3'h6; + assign _0302_ = e_in[364:362] == 3'h7; + function [7:0] \11101 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \11101 = b[7:0]; + 8'b??????1?: + \11101 = b[15:8]; + 8'b?????1??: + \11101 = b[23:16]; + 8'b????1???: + \11101 = b[31:24]; + 8'b???1????: + \11101 = b[39:32]; + 8'b??1?????: + \11101 = b[47:40]; + 8'b?1??????: + \11101 = b[55:48]; + 8'b1???????: + \11101 = b[63:56]; + default: + \11101 = a; + endcase + endfunction + assign _0303_ = \11101 (8'h00, 64'h0102040810204080, { _0302_, _0301_, _0300_, _0299_, _0298_, _0297_, _0296_, _0295_ }); + assign _0304_ = e_in[8:3] == 6'h0c; + assign _0305_ = a_in[7:0] == b_in[7:0]; + assign _0306_ = _0305_ ? 1'h1 : 1'h0; + assign _0307_ = a_in[7:0] == b_in[15:8]; + assign _0308_ = _0307_ ? 1'h1 : _0306_; + assign _0309_ = a_in[7:0] == b_in[23:16]; + assign _0310_ = _0309_ ? 1'h1 : _0308_; + assign _0311_ = a_in[7:0] == b_in[31:24]; + assign _0312_ = _0311_ ? 1'h1 : _0310_; + assign _0313_ = a_in[7:0] == b_in[39:32]; + assign _0314_ = _0313_ ? 1'h1 : _0312_; + assign _0315_ = a_in[7:0] == b_in[47:40]; + assign _0316_ = _0315_ ? 1'h1 : _0314_; + assign _0317_ = a_in[7:0] == b_in[55:48]; + assign _0318_ = _0317_ ? 1'h1 : _0316_; + assign _0319_ = a_in[7:0] == b_in[63:56]; + assign _0320_ = _0319_ ? 1'h1 : _0318_; + assign _0321_ = e_in[364:362] == 3'h0; + assign _0322_ = e_in[364:362] == 3'h1; + assign _0323_ = e_in[364:362] == 3'h2; + assign _0324_ = e_in[364:362] == 3'h3; + assign _0325_ = e_in[364:362] == 3'h4; + assign _0326_ = e_in[364:362] == 3'h5; + assign _0327_ = e_in[364:362] == 3'h6; + assign _0328_ = e_in[364:362] == 3'h7; + function [7:0] \11204 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \11204 = b[7:0]; + 8'b??????1?: + \11204 = b[15:8]; + 8'b?????1??: + \11204 = b[23:16]; + 8'b????1???: + \11204 = b[31:24]; + 8'b???1????: + \11204 = b[39:32]; + 8'b??1?????: + \11204 = b[47:40]; + 8'b?1??????: + \11204 = b[55:48]; + 8'b1???????: + \11204 = b[63:56]; + default: + \11204 = a; + endcase + endfunction + assign _0329_ = \11204 (8'h00, 64'h0102040810204080, { _0328_, _0327_, _0326_, _0325_, _0324_, _0323_, _0322_, _0321_ }); + assign _0330_ = e_in[8:3] == 6'h0b; + assign _0331_ = e_in[8:3] == 6'h03; + assign _0332_ = e_in[8:3] == 6'h2e; + assign _0333_ = _0331_ | _0332_; + assign _0334_ = e_in[8:3] == 6'h3c; + assign _0335_ = _0333_ | _0334_; + assign _0336_ = e_in[8:3] == 6'h2f; + assign _0337_ = _0335_ | _0336_; + assign _0338_ = e_in[8:3] == 6'h30; + assign _0339_ = _0337_ | _0338_; + assign _0340_ = e_in[8:3] == 6'h0a; + assign _0341_ = _0339_ | _0340_; + assign _0342_ = e_in[8:3] == 6'h17; + assign _0343_ = _0341_ | _0342_; + assign _0344_ = e_in[8:3] == 6'h08; + assign _0345_ = _0343_ | _0344_; + assign _0346_ = e_in[8:3] == 6'h3d; + assign _0347_ = _0345_ | _0346_; + assign _0348_ = ctrl[137] ? 1'h1 : _0086_; + assign _0349_ = e_in[8:3] == 6'h05; + assign _0350_ = ~ e_in[362]; + assign _0351_ = a_in - 64'h0000000000000001; + assign _0352_ = _0350_ ? 7'h21 : e_in[79:73]; + assign _0353_ = _0350_ ? _0351_ : 64'h0000000000000000; + assign _0354_ = _0350_ ? 1'h1 : 1'h0; + assign _0355_ = 32'd31 - { 27'h0000000, e_in[359:355] }; + assign _0356_ = _1179_ == e_in[363]; + assign _0357_ = _0356_ ? 1'h1 : 1'h0; + assign _0358_ = a_in != 64'h0000000000000001; + assign _0359_ = _0358_ ? 1'h1 : 1'h0; + assign _0360_ = _0359_ ^ e_in[361]; + assign _0361_ = e_in[362] | _0360_; + assign _0362_ = e_in[364] | _0357_; + assign _0363_ = _0361_ & _0362_; + assign _0364_ = ctrl[137] ? 1'h1 : _0086_; + assign _0365_ = e_in[8:3] == 6'h06; + assign _0366_ = ~ e_in[362]; + assign _0367_ = ~ e_in[349]; + assign _0368_ = _0366_ & _0367_; + assign _0369_ = a_in - 64'h0000000000000001; + assign _0370_ = _0368_ ? 7'h21 : e_in[79:73]; + assign _0371_ = _0368_ ? _0369_ : 64'h0000000000000000; + assign _0372_ = _0368_ ? 1'h1 : 1'h0; + assign _0373_ = 32'd31 - { 27'h0000000, e_in[359:355] }; + assign _0374_ = _1190_ == e_in[363]; + assign _0375_ = _0374_ ? 1'h1 : 1'h0; + assign _0376_ = a_in != 64'h0000000000000001; + assign _0377_ = _0376_ ? 1'h1 : 1'h0; + assign _0378_ = _0377_ ^ e_in[361]; + assign _0379_ = e_in[362] | _0378_; + assign _0380_ = e_in[364] | _0375_; + assign _0381_ = _0379_ & _0380_; + assign _0382_ = ctrl[137] ? 1'h1 : _0086_; + assign _0383_ = e_in[8:3] == 6'h07; + assign _0384_ = a_in[5] | a_in[14]; + assign _0385_ = ~ a_in[14]; + assign _0386_ = ~ a_in[0]; + assign _0387_ = ~ a_in[63]; + assign _0388_ = a_in[14] ? 2'h3 : a_in[5:4]; + assign _0389_ = a_in[14] ? 1'h1 : a_in[15]; + assign _0390_ = e_in[8:3] == 6'h31; + assign _0391_ = e_in[8:3] == 6'h0d; + assign _0392_ = 32'd31 - { 27'h0000000, e_in[349:345] }; + assign _0393_ = _1201_ ? a_in : b_in; + assign _0394_ = e_in[8:3] == 6'h1d; + assign _0395_ = ~ e_in[340]; + assign _0396_ = e_in[364:362] == 3'h0; + assign _0397_ = e_in[364:362] == 3'h1; + assign _0398_ = e_in[364:362] == 3'h2; + assign _0399_ = e_in[364:362] == 3'h3; + assign _0400_ = e_in[364:362] == 3'h4; + assign _0401_ = e_in[364:362] == 3'h5; + assign _0402_ = e_in[364:362] == 3'h6; + assign _0403_ = e_in[364:362] == 3'h7; + function [7:0] \11507 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \11507 = b[7:0]; + 8'b??????1?: + \11507 = b[15:8]; + 8'b?????1??: + \11507 = b[23:16]; + 8'b????1???: + \11507 = b[31:24]; + 8'b???1????: + \11507 = b[39:32]; + 8'b??1?????: + \11507 = b[47:40]; + 8'b?1??????: + \11507 = b[55:48]; + 8'b1???????: + \11507 = b[63:56]; + default: + \11507 = a; + endcase + endfunction + assign _0404_ = \11507 (8'h00, 64'h0102040810204080, { _0403_, _0402_, _0401_, _0400_, _0399_, _0398_, _0397_, _0396_ }); + assign _0405_ = 32'd0 == { 29'h00000000, e_in[359:357] }; + assign _0406_ = _0405_ ? cr_in[31:28] : 4'h0; + assign _0407_ = 32'd1 == { 29'h00000000, e_in[359:357] }; + assign _0408_ = _0407_ ? cr_in[27:24] : _0406_; + assign _0409_ = 32'd2 == { 29'h00000000, e_in[359:357] }; + assign _0410_ = _0409_ ? cr_in[23:20] : _0408_; + assign _0411_ = 32'd3 == { 29'h00000000, e_in[359:357] }; + assign _0412_ = _0411_ ? cr_in[19:16] : _0410_; + assign _0413_ = 32'd4 == { 29'h00000000, e_in[359:357] }; + assign _0414_ = _0413_ ? cr_in[15:12] : _0412_; + assign _0415_ = 32'd5 == { 29'h00000000, e_in[359:357] }; + assign _0416_ = _0415_ ? cr_in[11:8] : _0414_; + assign _0417_ = 32'd6 == { 29'h00000000, e_in[359:357] }; + assign _0418_ = _0417_ ? cr_in[7:4] : _0416_; + assign _0419_ = 32'd7 == { 29'h00000000, e_in[359:357] }; + assign _0420_ = _0419_ ? cr_in[3:0] : _0418_; + assign _0421_ = 32'd31 - { 27'h0000000, e_in[364:360] }; + assign _0422_ = 32'd31 - { 27'h0000000, e_in[359:355] }; + assign _0423_ = 32'd31 - { 27'h0000000, e_in[354:350] }; + assign _0424_ = 32'd5 + { 30'h00000000, _1212_, _1223_ }; + assign _0425_ = 32'd31 - { 27'h0000000, _0421_[4:0] }; + assign _0426_ = $signed(_0425_) / $signed(32'd4); + assign _0427_ = _0426_[2:0] == 3'h0; + assign _0428_ = _0426_[2:0] == 3'h1; + assign _0429_ = _0426_[2:0] == 3'h2; + assign _0430_ = _0426_[2:0] == 3'h3; + assign _0431_ = _0426_[2:0] == 3'h4; + assign _0432_ = _0426_[2:0] == 3'h5; + assign _0433_ = _0426_[2:0] == 3'h6; + assign _0434_ = _0426_[2:0] == 3'h7; + function [7:0] \11635 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \11635 = b[7:0]; + 8'b??????1?: + \11635 = b[15:8]; + 8'b?????1??: + \11635 = b[23:16]; + 8'b????1???: + \11635 = b[31:24]; + 8'b???1????: + \11635 = b[39:32]; + 8'b??1?????: + \11635 = b[47:40]; + 8'b?1??????: + \11635 = b[55:48]; + 8'b1???????: + \11635 = b[63:56]; + default: + \11635 = a; + endcase + endfunction + assign _0435_ = \11635 (8'h00, 64'h0102040810204080, { _0434_, _0433_, _0432_, _0431_, _0430_, _0429_, _0428_, _0427_ }); + assign _0436_ = 32'd0 == { 27'h0000000, _0421_[4:0] }; + assign _0437_ = _0436_ ? _1228_ : cr_in[0]; + assign _0438_ = 32'd1 == { 27'h0000000, _0421_[4:0] }; + assign _0439_ = _0438_ ? _1228_ : cr_in[1]; + assign _0440_ = 32'd2 == { 27'h0000000, _0421_[4:0] }; + assign _0441_ = _0440_ ? _1228_ : cr_in[2]; + assign _0442_ = 32'd3 == { 27'h0000000, _0421_[4:0] }; + assign _0443_ = _0442_ ? _1228_ : cr_in[3]; + assign _0444_ = 32'd4 == { 27'h0000000, _0421_[4:0] }; + assign _0445_ = _0444_ ? _1228_ : cr_in[4]; + assign _0446_ = 32'd5 == { 27'h0000000, _0421_[4:0] }; + assign _0447_ = _0446_ ? _1228_ : cr_in[5]; + assign _0448_ = 32'd6 == { 27'h0000000, _0421_[4:0] }; + assign _0449_ = _0448_ ? _1228_ : cr_in[6]; + assign _0450_ = 32'd7 == { 27'h0000000, _0421_[4:0] }; + assign _0451_ = _0450_ ? _1228_ : cr_in[7]; + assign _0452_ = 32'd8 == { 27'h0000000, _0421_[4:0] }; + assign _0453_ = _0452_ ? _1228_ : cr_in[8]; + assign _0454_ = 32'd9 == { 27'h0000000, _0421_[4:0] }; + assign _0455_ = _0454_ ? _1228_ : cr_in[9]; + assign _0456_ = 32'd10 == { 27'h0000000, _0421_[4:0] }; + assign _0457_ = _0456_ ? _1228_ : cr_in[10]; + assign _0458_ = 32'd11 == { 27'h0000000, _0421_[4:0] }; + assign _0459_ = _0458_ ? _1228_ : cr_in[11]; + assign _0460_ = 32'd12 == { 27'h0000000, _0421_[4:0] }; + assign _0461_ = _0460_ ? _1228_ : cr_in[12]; + assign _0462_ = 32'd13 == { 27'h0000000, _0421_[4:0] }; + assign _0463_ = _0462_ ? _1228_ : cr_in[13]; + assign _0464_ = 32'd14 == { 27'h0000000, _0421_[4:0] }; + assign _0465_ = _0464_ ? _1228_ : cr_in[14]; + assign _0466_ = 32'd15 == { 27'h0000000, _0421_[4:0] }; + assign _0467_ = _0466_ ? _1228_ : cr_in[15]; + assign _0468_ = 32'd16 == { 27'h0000000, _0421_[4:0] }; + assign _0469_ = _0468_ ? _1228_ : cr_in[16]; + assign _0470_ = 32'd17 == { 27'h0000000, _0421_[4:0] }; + assign _0471_ = _0470_ ? _1228_ : cr_in[17]; + assign _0472_ = 32'd18 == { 27'h0000000, _0421_[4:0] }; + assign _0473_ = _0472_ ? _1228_ : cr_in[18]; + assign _0474_ = 32'd19 == { 27'h0000000, _0421_[4:0] }; + assign _0475_ = _0474_ ? _1228_ : cr_in[19]; + assign _0476_ = 32'd20 == { 27'h0000000, _0421_[4:0] }; + assign _0477_ = _0476_ ? _1228_ : cr_in[20]; + assign _0478_ = 32'd21 == { 27'h0000000, _0421_[4:0] }; + assign _0479_ = _0478_ ? _1228_ : cr_in[21]; + assign _0480_ = 32'd22 == { 27'h0000000, _0421_[4:0] }; + assign _0481_ = _0480_ ? _1228_ : cr_in[22]; + assign _0482_ = 32'd23 == { 27'h0000000, _0421_[4:0] }; + assign _0483_ = _0482_ ? _1228_ : cr_in[23]; + assign _0484_ = 32'd24 == { 27'h0000000, _0421_[4:0] }; + assign _0485_ = _0484_ ? _1228_ : cr_in[24]; + assign _0486_ = 32'd25 == { 27'h0000000, _0421_[4:0] }; + assign _0487_ = _0486_ ? _1228_ : cr_in[25]; + assign _0488_ = 32'd26 == { 27'h0000000, _0421_[4:0] }; + assign _0489_ = _0488_ ? _1228_ : cr_in[26]; + assign _0490_ = 32'd27 == { 27'h0000000, _0421_[4:0] }; + assign _0491_ = _0490_ ? _1228_ : cr_in[27]; + assign _0492_ = 32'd28 == { 27'h0000000, _0421_[4:0] }; + assign _0493_ = _0492_ ? _1228_ : cr_in[28]; + assign _0494_ = 32'd29 == { 27'h0000000, _0421_[4:0] }; + assign _0495_ = _0494_ ? _1228_ : cr_in[29]; + assign _0496_ = 32'd30 == { 27'h0000000, _0421_[4:0] }; + assign _0497_ = _0496_ ? _1228_ : cr_in[30]; + assign _0498_ = 32'd31 == { 27'h0000000, _0421_[4:0] }; + assign _0499_ = _0498_ ? _1228_ : cr_in[31]; + assign _0500_ = _0395_ ? { _0420_, _0420_, _0420_, _0420_, _0420_, _0420_, _0420_, _0420_, _0404_, 1'h1 } : { _0499_, _0497_, _0495_, _0493_, _0491_, _0489_, _0487_, _0485_, _0483_, _0481_, _0479_, _0477_, _0475_, _0473_, _0471_, _0469_, _0467_, _0465_, _0463_, _0461_, _0459_, _0457_, _0455_, _0453_, _0451_, _0449_, _0447_, _0445_, _0443_, _0441_, _0439_, _0437_, _0435_, 1'h1 }; + assign _0501_ = e_in[8:3] == 6'h0e; + assign _0502_ = e_in[364:362] == 3'h0; + assign _0503_ = e_in[364:362] == 3'h1; + assign _0504_ = e_in[364:362] == 3'h2; + assign _0505_ = e_in[364:362] == 3'h3; + assign _0506_ = e_in[364:362] == 3'h4; + assign _0507_ = e_in[364:362] == 3'h5; + assign _0508_ = e_in[364:362] == 3'h6; + assign _0509_ = e_in[364:362] == 3'h7; + function [7:0] \11890 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \11890 = b[7:0]; + 8'b??????1?: + \11890 = b[15:8]; + 8'b?????1??: + \11890 = b[23:16]; + 8'b????1???: + \11890 = b[31:24]; + 8'b???1????: + \11890 = b[39:32]; + 8'b??1?????: + \11890 = b[47:40]; + 8'b?1??????: + \11890 = b[55:48]; + 8'b1???????: + \11890 = b[63:56]; + default: + \11890 = a; + endcase + endfunction + assign _0510_ = \11890 (8'h00, 64'h0102040810204080, { _0509_, _0508_, _0507_, _0506_, _0505_, _0504_, _0503_, _0502_ }); + assign _0511_ = e_in[8:3] == 6'h23; + assign _0512_ = ~ random_err; + assign _0513_ = e_in[356:355] == 2'h0; + assign _0514_ = e_in[356:355] == 2'h2; + function [63:0] \11910 ; + input [63:0] a; + input [127:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \11910 = b[63:0]; + 2'b1?: + \11910 = b[127:64]; + default: + \11910 = a; + endcase + endfunction + assign _0515_ = \11910 (random_cond, { random_raw, 32'h00000000, random_cond[31:0] }, { _0514_, _0513_ }); + assign _0516_ = _0512_ ? _0515_ : 64'hffffffffffffffff; + assign _0517_ = e_in[8:3] == 6'h0f; + assign _0518_ = e_in[8:3] == 6'h25; + assign _0519_ = { 22'h000000, e_in[354:350], e_in[359:355] } == 32'd1; + assign _0520_ = _0519_ ? { 32'h00000000, _0012_[4], _0012_[2], _0012_[0], 9'h000, _0012_[3], _0012_[1] } : a_in[63:18]; + assign _0521_ = { e_in[354:350], e_in[359:355] } == 10'h10c; + assign _0522_ = { e_in[354:350], e_in[359:355] } == 10'h10d; + assign _0523_ = { e_in[354:350], e_in[359:355] } == 10'h016; + assign _0524_ = { e_in[354:350], e_in[359:355] } == 10'h01c; + assign _0525_ = { e_in[354:350], e_in[359:355] } == 10'h11f; + assign _0526_ = { e_in[354:350], e_in[359:355] } == 10'h2d4; + assign _0527_ = r[455:424] + 32'd1; + assign _0528_ = { e_in[354:350], e_in[359:355] } == 10'h2d5; + assign _0529_ = ctrl[142] ? 1'h1 : 1'h0; + function [31:0] \12020 ; + input [31:0] a; + input [223:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \12020 = b[31:0]; + 7'b?????1?: + \12020 = b[63:32]; + 7'b????1??: + \12020 = b[95:64]; + 7'b???1???: + \12020 = b[127:96]; + 7'b??1????: + \12020 = b[159:128]; + 7'b?1?????: + \12020 = b[191:160]; + 7'b1??????: + \12020 = b[223:192]; + default: + \12020 = a; + endcase + endfunction + assign _0530_ = \12020 (r[455:424], { _0527_, r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424] }, { _0528_, _0526_, _0525_, _0524_, _0523_, _0522_, _0521_ }); + function [0:0] \12022 ; + input [0:0] a; + input [6:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \12022 = b[0:0]; + 7'b?????1?: + \12022 = b[1:1]; + 7'b????1??: + \12022 = b[2:2]; + 7'b???1???: + \12022 = b[3:3]; + 7'b??1????: + \12022 = b[4:4]; + 7'b?1?????: + \12022 = b[5:5]; + 7'b1??????: + \12022 = b[6:6]; + default: + \12022 = a; + endcase + endfunction + assign _0531_ = \12022 (_0529_, 7'h00, { _0528_, _0526_, _0525_, _0524_, _0523_, _0522_, _0521_ }); + function [31:0] \12029 ; + input [31:0] a; + input [223:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \12029 = b[31:0]; + 7'b?????1?: + \12029 = b[63:32]; + 7'b????1??: + \12029 = b[95:64]; + 7'b???1???: + \12029 = b[127:96]; + 7'b??1????: + \12029 = b[159:128]; + 7'b?1?????: + \12029 = b[191:160]; + 7'b1??????: + \12029 = b[223:192]; + default: + \12029 = a; + endcase + endfunction + assign _0532_ = \12029 (c_in[31:0], { log_rd_data[31:0], r[455:424], 32'h00630100, ctrl[223:192], ctrl[95:0] }, { _0528_, _0526_, _0525_, _0524_, _0523_, _0522_, _0521_ }); + function [31:0] \12036 ; + input [31:0] a; + input [223:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \12036 = b[31:0]; + 7'b?????1?: + \12036 = b[63:32]; + 7'b????1??: + \12036 = b[95:64]; + 7'b???1???: + \12036 = b[127:96]; + 7'b??1????: + \12036 = b[159:128]; + 7'b?1?????: + \12036 = b[191:160]; + 7'b1??????: + \12036 = b[223:192]; + default: + \12036 = a; + endcase + endfunction + assign _0533_ = \12036 (c_in[63:32], { log_rd_data[63:32], log_wr_addr, 32'h00000000, ctrl[255:224], ctrl[127:96], 32'h00000000, ctrl[63:32] }, { _0528_, _0526_, _0525_, _0524_, _0523_, _0522_, _0521_ }); + assign _0534_ = e_in[85] ? r[455:424] : _0530_; + assign _0535_ = e_in[85] ? { _0520_, a_in[17:0] } : { _0533_, _0532_ }; + assign _0536_ = e_in[85] ? 1'h0 : _0531_; + assign _0537_ = e_in[8:3] == 6'h26; + assign _0538_ = ~ e_in[359]; + assign _0539_ = e_in[358] ? 1'h0 : 1'h1; + assign _0540_ = e_in[358] ? 1'h0 : 1'h1; + assign _0541_ = e_in[358] ? 3'h0 : 3'hx; + assign _0542_ = _0548_ ? 1'h0 : _0539_; + assign _0543_ = _0549_ ? 1'h0 : _0540_; + assign _0544_ = _0550_ ? 3'h1 : _0541_; + assign _0545_ = e_in[357] & _0539_; + assign _0546_ = e_in[357] & _0539_; + assign _0547_ = e_in[357] & _0539_; + assign _0548_ = _0539_ & _0545_; + assign _0549_ = _0539_ & _0546_; + assign _0550_ = _0539_ & _0547_; + assign _0551_ = _0557_ ? 1'h0 : _0542_; + assign _0552_ = _0558_ ? 1'h0 : _0543_; + assign _0553_ = _0559_ ? 3'h2 : _0544_; + assign _0554_ = e_in[356] & _0542_; + assign _0555_ = e_in[356] & _0542_; + assign _0556_ = e_in[356] & _0542_; + assign _0557_ = _0542_ & _0554_; + assign _0558_ = _0542_ & _0555_; + assign _0559_ = _0542_ & _0556_; + assign _0560_ = _0566_ ? 1'h0 : _0551_; + assign _0561_ = _0567_ ? 1'h0 : _0552_; + assign _0562_ = _0568_ ? 3'h3 : _0553_; + assign _0563_ = e_in[355] & _0551_; + assign _0564_ = e_in[355] & _0551_; + assign _0565_ = e_in[355] & _0551_; + assign _0566_ = _0551_ & _0563_; + assign _0567_ = _0551_ & _0564_; + assign _0568_ = _0551_ & _0565_; + assign _0569_ = _0575_ ? 1'h0 : _0560_; + assign _0570_ = _0576_ ? 1'h0 : _0561_; + assign _0571_ = _0577_ ? 3'h4 : _0562_; + assign _0572_ = e_in[354] & _0560_; + assign _0573_ = e_in[354] & _0560_; + assign _0574_ = e_in[354] & _0560_; + assign _0575_ = _0560_ & _0572_; + assign _0576_ = _0560_ & _0573_; + assign _0577_ = _0560_ & _0574_; + assign _0578_ = _0584_ ? 1'h0 : _0569_; + assign _0579_ = _0585_ ? 1'h0 : _0570_; + assign _0580_ = _0586_ ? 3'h5 : _0571_; + assign _0581_ = e_in[353] & _0569_; + assign _0582_ = e_in[353] & _0569_; + assign _0583_ = e_in[353] & _0569_; + assign _0584_ = _0569_ & _0581_; + assign _0585_ = _0569_ & _0582_; + assign _0586_ = _0569_ & _0583_; + assign _0587_ = _0593_ ? 1'h0 : _0578_; + assign _0588_ = _0594_ ? 1'h0 : _0579_; + assign _0589_ = _0595_ ? 3'h6 : _0580_; + assign _0590_ = e_in[352] & _0578_; + assign _0591_ = e_in[352] & _0578_; + assign _0592_ = e_in[352] & _0578_; + assign _0593_ = _0578_ & _0590_; + assign _0594_ = _0578_ & _0591_; + assign _0595_ = _0578_ & _0592_; + assign _0596_ = _0600_ ? 1'h0 : _0588_; + assign _0597_ = _0601_ ? 3'h7 : _0589_; + assign _0598_ = e_in[351] & _0587_; + assign _0599_ = e_in[351] & _0587_; + assign _0600_ = _0587_ & _0598_; + assign _0601_ = _0587_ & _0599_; + assign _0602_ = _0596_ ? 3'h7 : _0597_; + assign _0603_ = { 29'h00000000, _0602_ } == 32'd0; + assign _0604_ = _0603_ ? cr_in[31:28] : 4'h0; + assign _0605_ = { 29'h00000000, _0602_ } == 32'd1; + assign _0606_ = _0605_ ? cr_in[27:24] : 4'h0; + assign _0607_ = { 29'h00000000, _0602_ } == 32'd2; + assign _0608_ = _0607_ ? cr_in[23:20] : 4'h0; + assign _0609_ = { 29'h00000000, _0602_ } == 32'd3; + assign _0610_ = _0609_ ? cr_in[19:16] : 4'h0; + assign _0611_ = { 29'h00000000, _0602_ } == 32'd4; + assign _0612_ = _0611_ ? cr_in[15:12] : 4'h0; + assign _0613_ = { 29'h00000000, _0602_ } == 32'd5; + assign _0614_ = _0613_ ? cr_in[11:8] : 4'h0; + assign _0615_ = { 29'h00000000, _0602_ } == 32'd6; + assign _0616_ = _0615_ ? cr_in[7:4] : 4'h0; + assign _0617_ = { 29'h00000000, _0602_ } == 32'd7; + assign _0618_ = _0617_ ? cr_in[3:0] : 4'h0; + assign _0619_ = _0538_ ? { 32'h00000000, cr_in } : { 32'h00000000, _0604_, _0606_, _0608_, _0610_, _0612_, _0614_, _0616_, _0618_ }; + assign _0620_ = e_in[8:3] == 6'h24; + assign _0621_ = ~ e_in[359]; + assign _0622_ = e_in[358] ? 1'h0 : 1'h1; + assign _0623_ = e_in[358] ? 1'h0 : 1'h1; + assign _0624_ = e_in[358] ? 3'h0 : 3'hx; + assign _0625_ = _0631_ ? 1'h0 : _0622_; + assign _0626_ = _0632_ ? 1'h0 : _0623_; + assign _0627_ = _0633_ ? 3'h1 : _0624_; + assign _0628_ = e_in[357] & _0622_; + assign _0629_ = e_in[357] & _0622_; + assign _0630_ = e_in[357] & _0622_; + assign _0631_ = _0622_ & _0628_; + assign _0632_ = _0622_ & _0629_; + assign _0633_ = _0622_ & _0630_; + assign _0634_ = _0640_ ? 1'h0 : _0625_; + assign _0635_ = _0641_ ? 1'h0 : _0626_; + assign _0636_ = _0642_ ? 3'h2 : _0627_; + assign _0637_ = e_in[356] & _0625_; + assign _0638_ = e_in[356] & _0625_; + assign _0639_ = e_in[356] & _0625_; + assign _0640_ = _0625_ & _0637_; + assign _0641_ = _0625_ & _0638_; + assign _0642_ = _0625_ & _0639_; + assign _0643_ = _0649_ ? 1'h0 : _0634_; + assign _0644_ = _0650_ ? 1'h0 : _0635_; + assign _0645_ = _0651_ ? 3'h3 : _0636_; + assign _0646_ = e_in[355] & _0634_; + assign _0647_ = e_in[355] & _0634_; + assign _0648_ = e_in[355] & _0634_; + assign _0649_ = _0634_ & _0646_; + assign _0650_ = _0634_ & _0647_; + assign _0651_ = _0634_ & _0648_; + assign _0652_ = _0658_ ? 1'h0 : _0643_; + assign _0653_ = _0659_ ? 1'h0 : _0644_; + assign _0654_ = _0660_ ? 3'h4 : _0645_; + assign _0655_ = e_in[354] & _0643_; + assign _0656_ = e_in[354] & _0643_; + assign _0657_ = e_in[354] & _0643_; + assign _0658_ = _0643_ & _0655_; + assign _0659_ = _0643_ & _0656_; + assign _0660_ = _0643_ & _0657_; + assign _0661_ = _0667_ ? 1'h0 : _0652_; + assign _0662_ = _0668_ ? 1'h0 : _0653_; + assign _0663_ = _0669_ ? 3'h5 : _0654_; + assign _0664_ = e_in[353] & _0652_; + assign _0665_ = e_in[353] & _0652_; + assign _0666_ = e_in[353] & _0652_; + assign _0667_ = _0652_ & _0664_; + assign _0668_ = _0652_ & _0665_; + assign _0669_ = _0652_ & _0666_; + assign _0670_ = _0676_ ? 1'h0 : _0661_; + assign _0671_ = _0677_ ? 1'h0 : _0662_; + assign _0672_ = _0678_ ? 3'h6 : _0663_; + assign _0673_ = e_in[352] & _0661_; + assign _0674_ = e_in[352] & _0661_; + assign _0675_ = e_in[352] & _0661_; + assign _0676_ = _0661_ & _0673_; + assign _0677_ = _0661_ & _0674_; + assign _0678_ = _0661_ & _0675_; + assign _0679_ = _0683_ ? 1'h0 : _0671_; + assign _0680_ = _0684_ ? 3'h7 : _0672_; + assign _0681_ = e_in[351] & _0670_; + assign _0682_ = e_in[351] & _0670_; + assign _0683_ = _0670_ & _0681_; + assign _0684_ = _0670_ & _0682_; + assign _0685_ = _0679_ ? 3'h7 : _0680_; + assign _0686_ = _0685_ == 3'h0; + assign _0687_ = _0685_ == 3'h1; + assign _0688_ = _0685_ == 3'h2; + assign _0689_ = _0685_ == 3'h3; + assign _0690_ = _0685_ == 3'h4; + assign _0691_ = _0685_ == 3'h5; + assign _0692_ = _0685_ == 3'h6; + assign _0693_ = _0685_ == 3'h7; + function [7:0] \12398 ; + input [7:0] a; + input [63:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \12398 = b[7:0]; + 8'b??????1?: + \12398 = b[15:8]; + 8'b?????1??: + \12398 = b[23:16]; + 8'b????1???: + \12398 = b[31:24]; + 8'b???1????: + \12398 = b[39:32]; + 8'b??1?????: + \12398 = b[47:40]; + 8'b?1??????: + \12398 = b[55:48]; + 8'b1???????: + \12398 = b[63:56]; + default: + \12398 = a; + endcase + endfunction + assign _0694_ = \12398 (8'h00, 64'h0102040810204080, { _0693_, _0692_, _0691_, _0690_, _0689_, _0688_, _0687_, _0686_ }); + assign _0695_ = _0621_ ? e_in[358:351] : _0694_; + assign _0696_ = e_in[8:3] == 6'h28; + assign _0697_ = ~ e_in[337]; + assign _0698_ = _0697_ ? c_in[59:32] : ctrl[187:160]; + assign _0699_ = _0697_ ? c_in[63:61] : ctrl[191:189]; + assign _0700_ = c_in[14] ? 2'h3 : c_in[5:4]; + assign _0701_ = c_in[14] ? 1'h1 : c_in[15]; + assign _0702_ = e_in[355] ? c_in[1] : c_in[1]; + assign _0703_ = e_in[355] ? ctrl[139:130] : { c_in[11:6], _0700_, c_in[3:2] }; + assign _0704_ = e_in[355] ? ctrl[142:141] : c_in[14:13]; + assign _0705_ = e_in[355] ? c_in[15] : _0701_; + assign _0706_ = e_in[355] ? ctrl[187:144] : { _0698_, c_in[31:16] }; + assign _0707_ = e_in[355] ? ctrl[191:189] : _0699_; + assign _0708_ = e_in[8:3] == 6'h29; + assign _0709_ = { 22'h000000, e_in[354:350], e_in[359:355] } == 32'd1; + assign _0710_ = _0709_ ? { c_in[31], c_in[19], c_in[30], c_in[18], c_in[29], 1'h1 } : { _0012_, 1'h0 }; + assign _0711_ = { e_in[354:350], e_in[359:355] } == 10'h016; + assign _0712_ = { e_in[354:350], e_in[359:355] } == 10'h2d4; + assign _0713_ = ctrl[142] ? 1'h1 : 1'h0; + function [63:0] \12499 ; + input [63:0] a; + input [127:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \12499 = b[63:0]; + 2'b1?: + \12499 = b[127:64]; + default: + \12499 = a; + endcase + endfunction + assign _0714_ = \12499 (_0064_, { _0064_, c_in }, { _0712_, _0711_ }); + function [31:0] \12500 ; + input [31:0] a; + input [63:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \12500 = b[31:0]; + 2'b1?: + \12500 = b[63:32]; + default: + \12500 = a; + endcase + endfunction + assign _0715_ = \12500 (r[455:424], { c_in[31:0], r[455:424] }, { _0712_, _0711_ }); + function [0:0] \12502 ; + input [0:0] a; + input [1:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \12502 = b[0:0]; + 2'b1?: + \12502 = b[1:1]; + default: + \12502 = a; + endcase + endfunction + assign _0716_ = \12502 (_0713_, 2'h0, { _0712_, _0711_ }); + assign _0717_ = e_in[78] ? _0064_ : _0714_; + assign _0718_ = e_in[78] ? _0710_ : { _0012_, 1'h0 }; + assign _0719_ = e_in[78] ? r[455:424] : _0715_; + assign _0720_ = e_in[78] ? c_in : 64'h0000000000000000; + assign _0721_ = e_in[78] ? 1'h1 : 1'h0; + assign _0722_ = e_in[78] ? 1'h0 : _0716_; + assign _0723_ = e_in[8:3] == 6'h2a; + assign _0724_ = e_in[334] ? { _0083_, 8'h44, _0012_[4:2], rotator_carry, rotator_carry, 106'h200000000000000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 } : { _0083_, 8'h44, _0012_, 106'h000000000000000000000000000, e_in[79:73], 1'h0, _0085_, 2'h1 }; + assign _0725_ = e_in[8:3] == 6'h32; + assign _0726_ = e_in[8:3] == 6'h33; + assign _0727_ = _0725_ | _0726_; + assign _0728_ = e_in[8:3] == 6'h34; + assign _0729_ = _0727_ | _0728_; + assign _0730_ = e_in[8:3] == 6'h37; + assign _0731_ = _0729_ | _0730_; + assign _0732_ = e_in[8:3] == 6'h38; + assign _0733_ = _0731_ | _0732_; + assign _0734_ = e_in[8:3] == 6'h18; + assign _0735_ = _0733_ | _0734_; + assign _0736_ = $signed({ 29'h00000000, e_in[359:357] }) * $signed(32'd4); + assign _0737_ = 32'd31 - { 27'h0000000, _0736_[4:0] }; + assign _0738_ = 32'd30 - { 27'h0000000, _0736_[4:0] }; + assign _0739_ = _1250_ ? 1'h1 : 1'h0; + assign _0740_ = _1239_ ? 1'h1 : _0739_; + assign _0741_ = _1239_ ? 63'h7fffffffffffffff : 63'h0000000000000000; + assign _0742_ = e_in[8:3] == 6'h36; + assign _0743_ = e_in[8:3] == 6'h1e; + assign _0744_ = e_in[8:3] == 6'h1b; + assign _0745_ = e_in[8:3] == 6'h2b; + assign _0746_ = e_in[8:3] == 6'h2c; + assign _0747_ = _0745_ | _0746_; + assign _0748_ = e_in[8:3] == 6'h2d; + assign _0749_ = _0747_ | _0748_; + assign _0750_ = e_in[8:3] == 6'h15; + assign _0751_ = e_in[8:3] == 6'h16; + assign _0752_ = _0750_ | _0751_; + assign _0753_ = e_in[8:3] == 6'h27; + assign _0754_ = _0752_ | _0753_; + function [0:0] \12621 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12621 = b[0:0]; + 30'b????????????????????????????1?: + \12621 = b[1:1]; + 30'b???????????????????????????1??: + \12621 = b[2:2]; + 30'b??????????????????????????1???: + \12621 = b[3:3]; + 30'b?????????????????????????1????: + \12621 = b[4:4]; + 30'b????????????????????????1?????: + \12621 = b[5:5]; + 30'b???????????????????????1??????: + \12621 = b[6:6]; + 30'b??????????????????????1???????: + \12621 = b[7:7]; + 30'b?????????????????????1????????: + \12621 = b[8:8]; + 30'b????????????????????1?????????: + \12621 = b[9:9]; + 30'b???????????????????1??????????: + \12621 = b[10:10]; + 30'b??????????????????1???????????: + \12621 = b[11:11]; + 30'b?????????????????1????????????: + \12621 = b[12:12]; + 30'b????????????????1?????????????: + \12621 = b[13:13]; + 30'b???????????????1??????????????: + \12621 = b[14:14]; + 30'b??????????????1???????????????: + \12621 = b[15:15]; + 30'b?????????????1????????????????: + \12621 = b[16:16]; + 30'b????????????1?????????????????: + \12621 = b[17:17]; + 30'b???????????1??????????????????: + \12621 = b[18:18]; + 30'b??????????1???????????????????: + \12621 = b[19:19]; + 30'b?????????1????????????????????: + \12621 = b[20:20]; + 30'b????????1?????????????????????: + \12621 = b[21:21]; + 30'b???????1??????????????????????: + \12621 = b[22:22]; + 30'b??????1???????????????????????: + \12621 = b[23:23]; + 30'b?????1????????????????????????: + \12621 = b[24:24]; + 30'b????1?????????????????????????: + \12621 = b[25:25]; + 30'b???1??????????????????????????: + \12621 = b[26:26]; + 30'b??1???????????????????????????: + \12621 = b[27:27]; + 30'b?1????????????????????????????: + \12621 = b[28:28]; + 30'b1?????????????????????????????: + \12621 = b[29:29]; + default: + \12621 = a; + endcase + endfunction + assign _0755_ = \12621 (1'h0, 30'h08000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [63:0] \12622 ; + input [63:0] a; + input [1919:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12622 = b[63:0]; + 30'b????????????????????????????1?: + \12622 = b[127:64]; + 30'b???????????????????????????1??: + \12622 = b[191:128]; + 30'b??????????????????????????1???: + \12622 = b[255:192]; + 30'b?????????????????????????1????: + \12622 = b[319:256]; + 30'b????????????????????????1?????: + \12622 = b[383:320]; + 30'b???????????????????????1??????: + \12622 = b[447:384]; + 30'b??????????????????????1???????: + \12622 = b[511:448]; + 30'b?????????????????????1????????: + \12622 = b[575:512]; + 30'b????????????????????1?????????: + \12622 = b[639:576]; + 30'b???????????????????1??????????: + \12622 = b[703:640]; + 30'b??????????????????1???????????: + \12622 = b[767:704]; + 30'b?????????????????1????????????: + \12622 = b[831:768]; + 30'b????????????????1?????????????: + \12622 = b[895:832]; + 30'b???????????????1??????????????: + \12622 = b[959:896]; + 30'b??????????????1???????????????: + \12622 = b[1023:960]; + 30'b?????????????1????????????????: + \12622 = b[1087:1024]; + 30'b????????????1?????????????????: + \12622 = b[1151:1088]; + 30'b???????????1??????????????????: + \12622 = b[1215:1152]; + 30'b??????????1???????????????????: + \12622 = b[1279:1216]; + 30'b?????????1????????????????????: + \12622 = b[1343:1280]; + 30'b????????1?????????????????????: + \12622 = b[1407:1344]; + 30'b???????1??????????????????????: + \12622 = b[1471:1408]; + 30'b??????1???????????????????????: + \12622 = b[1535:1472]; + 30'b?????1????????????????????????: + \12622 = b[1599:1536]; + 30'b????1?????????????????????????: + \12622 = b[1663:1600]; + 30'b???1??????????????????????????: + \12622 = b[1727:1664]; + 30'b??1???????????????????????????: + \12622 = b[1791:1728]; + 30'b?1????????????????????????????: + \12622 = b[1855:1792]; + 30'b1?????????????????????????????: + \12622 = b[1919:1856]; + default: + \12622 = a; + endcase + endfunction + assign _0756_ = \12622 (_0064_, { _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0717_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_, _0064_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12625 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12625 = b[0:0]; + 30'b????????????????????????????1?: + \12625 = b[1:1]; + 30'b???????????????????????????1??: + \12625 = b[2:2]; + 30'b??????????????????????????1???: + \12625 = b[3:3]; + 30'b?????????????????????????1????: + \12625 = b[4:4]; + 30'b????????????????????????1?????: + \12625 = b[5:5]; + 30'b???????????????????????1??????: + \12625 = b[6:6]; + 30'b??????????????????????1???????: + \12625 = b[7:7]; + 30'b?????????????????????1????????: + \12625 = b[8:8]; + 30'b????????????????????1?????????: + \12625 = b[9:9]; + 30'b???????????????????1??????????: + \12625 = b[10:10]; + 30'b??????????????????1???????????: + \12625 = b[11:11]; + 30'b?????????????????1????????????: + \12625 = b[12:12]; + 30'b????????????????1?????????????: + \12625 = b[13:13]; + 30'b???????????????1??????????????: + \12625 = b[14:14]; + 30'b??????????????1???????????????: + \12625 = b[15:15]; + 30'b?????????????1????????????????: + \12625 = b[16:16]; + 30'b????????????1?????????????????: + \12625 = b[17:17]; + 30'b???????????1??????????????????: + \12625 = b[18:18]; + 30'b??????????1???????????????????: + \12625 = b[19:19]; + 30'b?????????1????????????????????: + \12625 = b[20:20]; + 30'b????????1?????????????????????: + \12625 = b[21:21]; + 30'b???????1??????????????????????: + \12625 = b[22:22]; + 30'b??????1???????????????????????: + \12625 = b[23:23]; + 30'b?????1????????????????????????: + \12625 = b[24:24]; + 30'b????1?????????????????????????: + \12625 = b[25:25]; + 30'b???1??????????????????????????: + \12625 = b[26:26]; + 30'b??1???????????????????????????: + \12625 = b[27:27]; + 30'b?1????????????????????????????: + \12625 = b[28:28]; + 30'b1?????????????????????????????: + \12625 = b[29:29]; + default: + \12625 = a; + endcase + endfunction + assign _0757_ = \12625 (ctrl[128], { ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], a_in[0], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128], ctrl[128] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12628 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12628 = b[0:0]; + 30'b????????????????????????????1?: + \12628 = b[1:1]; + 30'b???????????????????????????1??: + \12628 = b[2:2]; + 30'b??????????????????????????1???: + \12628 = b[3:3]; + 30'b?????????????????????????1????: + \12628 = b[4:4]; + 30'b????????????????????????1?????: + \12628 = b[5:5]; + 30'b???????????????????????1??????: + \12628 = b[6:6]; + 30'b??????????????????????1???????: + \12628 = b[7:7]; + 30'b?????????????????????1????????: + \12628 = b[8:8]; + 30'b????????????????????1?????????: + \12628 = b[9:9]; + 30'b???????????????????1??????????: + \12628 = b[10:10]; + 30'b??????????????????1???????????: + \12628 = b[11:11]; + 30'b?????????????????1????????????: + \12628 = b[12:12]; + 30'b????????????????1?????????????: + \12628 = b[13:13]; + 30'b???????????????1??????????????: + \12628 = b[14:14]; + 30'b??????????????1???????????????: + \12628 = b[15:15]; + 30'b?????????????1????????????????: + \12628 = b[16:16]; + 30'b????????????1?????????????????: + \12628 = b[17:17]; + 30'b???????????1??????????????????: + \12628 = b[18:18]; + 30'b??????????1???????????????????: + \12628 = b[19:19]; + 30'b?????????1????????????????????: + \12628 = b[20:20]; + 30'b????????1?????????????????????: + \12628 = b[21:21]; + 30'b???????1??????????????????????: + \12628 = b[22:22]; + 30'b??????1???????????????????????: + \12628 = b[23:23]; + 30'b?????1????????????????????????: + \12628 = b[24:24]; + 30'b????1?????????????????????????: + \12628 = b[25:25]; + 30'b???1??????????????????????????: + \12628 = b[26:26]; + 30'b??1???????????????????????????: + \12628 = b[27:27]; + 30'b?1????????????????????????????: + \12628 = b[28:28]; + 30'b1?????????????????????????????: + \12628 = b[29:29]; + default: + \12628 = a; + endcase + endfunction + assign _0758_ = \12628 (ctrl[129], { ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], _0702_, ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], a_in[1], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129], ctrl[129] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [1:0] \12632 ; + input [1:0] a; + input [59:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12632 = b[1:0]; + 30'b????????????????????????????1?: + \12632 = b[3:2]; + 30'b???????????????????????????1??: + \12632 = b[5:4]; + 30'b??????????????????????????1???: + \12632 = b[7:6]; + 30'b?????????????????????????1????: + \12632 = b[9:8]; + 30'b????????????????????????1?????: + \12632 = b[11:10]; + 30'b???????????????????????1??????: + \12632 = b[13:12]; + 30'b??????????????????????1???????: + \12632 = b[15:14]; + 30'b?????????????????????1????????: + \12632 = b[17:16]; + 30'b????????????????????1?????????: + \12632 = b[19:18]; + 30'b???????????????????1??????????: + \12632 = b[21:20]; + 30'b??????????????????1???????????: + \12632 = b[23:22]; + 30'b?????????????????1????????????: + \12632 = b[25:24]; + 30'b????????????????1?????????????: + \12632 = b[27:26]; + 30'b???????????????1??????????????: + \12632 = b[29:28]; + 30'b??????????????1???????????????: + \12632 = b[31:30]; + 30'b?????????????1????????????????: + \12632 = b[33:32]; + 30'b????????????1?????????????????: + \12632 = b[35:34]; + 30'b???????????1??????????????????: + \12632 = b[37:36]; + 30'b??????????1???????????????????: + \12632 = b[39:38]; + 30'b?????????1????????????????????: + \12632 = b[41:40]; + 30'b????????1?????????????????????: + \12632 = b[43:42]; + 30'b???????1??????????????????????: + \12632 = b[45:44]; + 30'b??????1???????????????????????: + \12632 = b[47:46]; + 30'b?????1????????????????????????: + \12632 = b[49:48]; + 30'b????1?????????????????????????: + \12632 = b[51:50]; + 30'b???1??????????????????????????: + \12632 = b[53:52]; + 30'b??1???????????????????????????: + \12632 = b[55:54]; + 30'b?1????????????????????????????: + \12632 = b[57:56]; + 30'b1?????????????????????????????: + \12632 = b[59:58]; + default: + \12632 = a; + endcase + endfunction + assign _0759_ = \12632 (ctrl[131:130], { ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], _0703_[1:0], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], a_in[3:2], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130], ctrl[131:130] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [1:0] \12635 ; + input [1:0] a; + input [59:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12635 = b[1:0]; + 30'b????????????????????????????1?: + \12635 = b[3:2]; + 30'b???????????????????????????1??: + \12635 = b[5:4]; + 30'b??????????????????????????1???: + \12635 = b[7:6]; + 30'b?????????????????????????1????: + \12635 = b[9:8]; + 30'b????????????????????????1?????: + \12635 = b[11:10]; + 30'b???????????????????????1??????: + \12635 = b[13:12]; + 30'b??????????????????????1???????: + \12635 = b[15:14]; + 30'b?????????????????????1????????: + \12635 = b[17:16]; + 30'b????????????????????1?????????: + \12635 = b[19:18]; + 30'b???????????????????1??????????: + \12635 = b[21:20]; + 30'b??????????????????1???????????: + \12635 = b[23:22]; + 30'b?????????????????1????????????: + \12635 = b[25:24]; + 30'b????????????????1?????????????: + \12635 = b[27:26]; + 30'b???????????????1??????????????: + \12635 = b[29:28]; + 30'b??????????????1???????????????: + \12635 = b[31:30]; + 30'b?????????????1????????????????: + \12635 = b[33:32]; + 30'b????????????1?????????????????: + \12635 = b[35:34]; + 30'b???????????1??????????????????: + \12635 = b[37:36]; + 30'b??????????1???????????????????: + \12635 = b[39:38]; + 30'b?????????1????????????????????: + \12635 = b[41:40]; + 30'b????????1?????????????????????: + \12635 = b[43:42]; + 30'b???????1??????????????????????: + \12635 = b[45:44]; + 30'b??????1???????????????????????: + \12635 = b[47:46]; + 30'b?????1????????????????????????: + \12635 = b[49:48]; + 30'b????1?????????????????????????: + \12635 = b[51:50]; + 30'b???1??????????????????????????: + \12635 = b[53:52]; + 30'b??1???????????????????????????: + \12635 = b[55:54]; + 30'b?1????????????????????????????: + \12635 = b[57:56]; + 30'b1?????????????????????????????: + \12635 = b[59:58]; + default: + \12635 = a; + endcase + endfunction + assign _0760_ = \12635 (ctrl[133:132], { ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], _0703_[3:2], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], _0388_, ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132], ctrl[133:132] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [5:0] \12639 ; + input [5:0] a; + input [179:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12639 = b[5:0]; + 30'b????????????????????????????1?: + \12639 = b[11:6]; + 30'b???????????????????????????1??: + \12639 = b[17:12]; + 30'b??????????????????????????1???: + \12639 = b[23:18]; + 30'b?????????????????????????1????: + \12639 = b[29:24]; + 30'b????????????????????????1?????: + \12639 = b[35:30]; + 30'b???????????????????????1??????: + \12639 = b[41:36]; + 30'b??????????????????????1???????: + \12639 = b[47:42]; + 30'b?????????????????????1????????: + \12639 = b[53:48]; + 30'b????????????????????1?????????: + \12639 = b[59:54]; + 30'b???????????????????1??????????: + \12639 = b[65:60]; + 30'b??????????????????1???????????: + \12639 = b[71:66]; + 30'b?????????????????1????????????: + \12639 = b[77:72]; + 30'b????????????????1?????????????: + \12639 = b[83:78]; + 30'b???????????????1??????????????: + \12639 = b[89:84]; + 30'b??????????????1???????????????: + \12639 = b[95:90]; + 30'b?????????????1????????????????: + \12639 = b[101:96]; + 30'b????????????1?????????????????: + \12639 = b[107:102]; + 30'b???????????1??????????????????: + \12639 = b[113:108]; + 30'b??????????1???????????????????: + \12639 = b[119:114]; + 30'b?????????1????????????????????: + \12639 = b[125:120]; + 30'b????????1?????????????????????: + \12639 = b[131:126]; + 30'b???????1??????????????????????: + \12639 = b[137:132]; + 30'b??????1???????????????????????: + \12639 = b[143:138]; + 30'b?????1????????????????????????: + \12639 = b[149:144]; + 30'b????1?????????????????????????: + \12639 = b[155:150]; + 30'b???1??????????????????????????: + \12639 = b[161:156]; + 30'b??1???????????????????????????: + \12639 = b[167:162]; + 30'b?1????????????????????????????: + \12639 = b[173:168]; + 30'b1?????????????????????????????: + \12639 = b[179:174]; + default: + \12639 = a; + endcase + endfunction + assign _0761_ = \12639 (ctrl[139:134], { ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], _0703_[9:4], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], a_in[11:6], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134], ctrl[139:134] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12642 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12642 = b[0:0]; + 30'b????????????????????????????1?: + \12642 = b[1:1]; + 30'b???????????????????????????1??: + \12642 = b[2:2]; + 30'b??????????????????????????1???: + \12642 = b[3:3]; + 30'b?????????????????????????1????: + \12642 = b[4:4]; + 30'b????????????????????????1?????: + \12642 = b[5:5]; + 30'b???????????????????????1??????: + \12642 = b[6:6]; + 30'b??????????????????????1???????: + \12642 = b[7:7]; + 30'b?????????????????????1????????: + \12642 = b[8:8]; + 30'b????????????????????1?????????: + \12642 = b[9:9]; + 30'b???????????????????1??????????: + \12642 = b[10:10]; + 30'b??????????????????1???????????: + \12642 = b[11:11]; + 30'b?????????????????1????????????: + \12642 = b[12:12]; + 30'b????????????????1?????????????: + \12642 = b[13:13]; + 30'b???????????????1??????????????: + \12642 = b[14:14]; + 30'b??????????????1???????????????: + \12642 = b[15:15]; + 30'b?????????????1????????????????: + \12642 = b[16:16]; + 30'b????????????1?????????????????: + \12642 = b[17:17]; + 30'b???????????1??????????????????: + \12642 = b[18:18]; + 30'b??????????1???????????????????: + \12642 = b[19:19]; + 30'b?????????1????????????????????: + \12642 = b[20:20]; + 30'b????????1?????????????????????: + \12642 = b[21:21]; + 30'b???????1??????????????????????: + \12642 = b[22:22]; + 30'b??????1???????????????????????: + \12642 = b[23:23]; + 30'b?????1????????????????????????: + \12642 = b[24:24]; + 30'b????1?????????????????????????: + \12642 = b[25:25]; + 30'b???1??????????????????????????: + \12642 = b[26:26]; + 30'b??1???????????????????????????: + \12642 = b[27:27]; + 30'b?1????????????????????????????: + \12642 = b[28:28]; + 30'b1?????????????????????????????: + \12642 = b[29:29]; + default: + \12642 = a; + endcase + endfunction + assign _0762_ = \12642 (ctrl[140], { ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], a_in[12], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140], ctrl[140] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [1:0] \12645 ; + input [1:0] a; + input [59:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12645 = b[1:0]; + 30'b????????????????????????????1?: + \12645 = b[3:2]; + 30'b???????????????????????????1??: + \12645 = b[5:4]; + 30'b??????????????????????????1???: + \12645 = b[7:6]; + 30'b?????????????????????????1????: + \12645 = b[9:8]; + 30'b????????????????????????1?????: + \12645 = b[11:10]; + 30'b???????????????????????1??????: + \12645 = b[13:12]; + 30'b??????????????????????1???????: + \12645 = b[15:14]; + 30'b?????????????????????1????????: + \12645 = b[17:16]; + 30'b????????????????????1?????????: + \12645 = b[19:18]; + 30'b???????????????????1??????????: + \12645 = b[21:20]; + 30'b??????????????????1???????????: + \12645 = b[23:22]; + 30'b?????????????????1????????????: + \12645 = b[25:24]; + 30'b????????????????1?????????????: + \12645 = b[27:26]; + 30'b???????????????1??????????????: + \12645 = b[29:28]; + 30'b??????????????1???????????????: + \12645 = b[31:30]; + 30'b?????????????1????????????????: + \12645 = b[33:32]; + 30'b????????????1?????????????????: + \12645 = b[35:34]; + 30'b???????????1??????????????????: + \12645 = b[37:36]; + 30'b??????????1???????????????????: + \12645 = b[39:38]; + 30'b?????????1????????????????????: + \12645 = b[41:40]; + 30'b????????1?????????????????????: + \12645 = b[43:42]; + 30'b???????1??????????????????????: + \12645 = b[45:44]; + 30'b??????1???????????????????????: + \12645 = b[47:46]; + 30'b?????1????????????????????????: + \12645 = b[49:48]; + 30'b????1?????????????????????????: + \12645 = b[51:50]; + 30'b???1??????????????????????????: + \12645 = b[53:52]; + 30'b??1???????????????????????????: + \12645 = b[55:54]; + 30'b?1????????????????????????????: + \12645 = b[57:56]; + 30'b1?????????????????????????????: + \12645 = b[59:58]; + default: + \12645 = a; + endcase + endfunction + assign _0763_ = \12645 (ctrl[142:141], { ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], _0704_, ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], a_in[14:13], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141], ctrl[142:141] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12647 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12647 = b[0:0]; + 30'b????????????????????????????1?: + \12647 = b[1:1]; + 30'b???????????????????????????1??: + \12647 = b[2:2]; + 30'b??????????????????????????1???: + \12647 = b[3:3]; + 30'b?????????????????????????1????: + \12647 = b[4:4]; + 30'b????????????????????????1?????: + \12647 = b[5:5]; + 30'b???????????????????????1??????: + \12647 = b[6:6]; + 30'b??????????????????????1???????: + \12647 = b[7:7]; + 30'b?????????????????????1????????: + \12647 = b[8:8]; + 30'b????????????????????1?????????: + \12647 = b[9:9]; + 30'b???????????????????1??????????: + \12647 = b[10:10]; + 30'b??????????????????1???????????: + \12647 = b[11:11]; + 30'b?????????????????1????????????: + \12647 = b[12:12]; + 30'b????????????????1?????????????: + \12647 = b[13:13]; + 30'b???????????????1??????????????: + \12647 = b[14:14]; + 30'b??????????????1???????????????: + \12647 = b[15:15]; + 30'b?????????????1????????????????: + \12647 = b[16:16]; + 30'b????????????1?????????????????: + \12647 = b[17:17]; + 30'b???????????1??????????????????: + \12647 = b[18:18]; + 30'b??????????1???????????????????: + \12647 = b[19:19]; + 30'b?????????1????????????????????: + \12647 = b[20:20]; + 30'b????????1?????????????????????: + \12647 = b[21:21]; + 30'b???????1??????????????????????: + \12647 = b[22:22]; + 30'b??????1???????????????????????: + \12647 = b[23:23]; + 30'b?????1????????????????????????: + \12647 = b[24:24]; + 30'b????1?????????????????????????: + \12647 = b[25:25]; + 30'b???1??????????????????????????: + \12647 = b[26:26]; + 30'b??1???????????????????????????: + \12647 = b[27:27]; + 30'b?1????????????????????????????: + \12647 = b[28:28]; + 30'b1?????????????????????????????: + \12647 = b[29:29]; + default: + \12647 = a; + endcase + endfunction + assign _0764_ = \12647 (ctrl[143], { ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], _0705_, ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], _0389_, ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143], ctrl[143] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [5:0] \12650 ; + input [5:0] a; + input [179:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12650 = b[5:0]; + 30'b????????????????????????????1?: + \12650 = b[11:6]; + 30'b???????????????????????????1??: + \12650 = b[17:12]; + 30'b??????????????????????????1???: + \12650 = b[23:18]; + 30'b?????????????????????????1????: + \12650 = b[29:24]; + 30'b????????????????????????1?????: + \12650 = b[35:30]; + 30'b???????????????????????1??????: + \12650 = b[41:36]; + 30'b??????????????????????1???????: + \12650 = b[47:42]; + 30'b?????????????????????1????????: + \12650 = b[53:48]; + 30'b????????????????????1?????????: + \12650 = b[59:54]; + 30'b???????????????????1??????????: + \12650 = b[65:60]; + 30'b??????????????????1???????????: + \12650 = b[71:66]; + 30'b?????????????????1????????????: + \12650 = b[77:72]; + 30'b????????????????1?????????????: + \12650 = b[83:78]; + 30'b???????????????1??????????????: + \12650 = b[89:84]; + 30'b??????????????1???????????????: + \12650 = b[95:90]; + 30'b?????????????1????????????????: + \12650 = b[101:96]; + 30'b????????????1?????????????????: + \12650 = b[107:102]; + 30'b???????????1??????????????????: + \12650 = b[113:108]; + 30'b??????????1???????????????????: + \12650 = b[119:114]; + 30'b?????????1????????????????????: + \12650 = b[125:120]; + 30'b????????1?????????????????????: + \12650 = b[131:126]; + 30'b???????1??????????????????????: + \12650 = b[137:132]; + 30'b??????1???????????????????????: + \12650 = b[143:138]; + 30'b?????1????????????????????????: + \12650 = b[149:144]; + 30'b????1?????????????????????????: + \12650 = b[155:150]; + 30'b???1??????????????????????????: + \12650 = b[161:156]; + 30'b??1???????????????????????????: + \12650 = b[167:162]; + 30'b?1????????????????????????????: + \12650 = b[173:168]; + 30'b1?????????????????????????????: + \12650 = b[179:174]; + default: + \12650 = a; + endcase + endfunction + assign _0765_ = \12650 (ctrl[149:144], { ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], _0706_[5:0], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144], ctrl[149:144] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [4:0] \12653 ; + input [4:0] a; + input [149:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12653 = b[4:0]; + 30'b????????????????????????????1?: + \12653 = b[9:5]; + 30'b???????????????????????????1??: + \12653 = b[14:10]; + 30'b??????????????????????????1???: + \12653 = b[19:15]; + 30'b?????????????????????????1????: + \12653 = b[24:20]; + 30'b????????????????????????1?????: + \12653 = b[29:25]; + 30'b???????????????????????1??????: + \12653 = b[34:30]; + 30'b??????????????????????1???????: + \12653 = b[39:35]; + 30'b?????????????????????1????????: + \12653 = b[44:40]; + 30'b????????????????????1?????????: + \12653 = b[49:45]; + 30'b???????????????????1??????????: + \12653 = b[54:50]; + 30'b??????????????????1???????????: + \12653 = b[59:55]; + 30'b?????????????????1????????????: + \12653 = b[64:60]; + 30'b????????????????1?????????????: + \12653 = b[69:65]; + 30'b???????????????1??????????????: + \12653 = b[74:70]; + 30'b??????????????1???????????????: + \12653 = b[79:75]; + 30'b?????????????1????????????????: + \12653 = b[84:80]; + 30'b????????????1?????????????????: + \12653 = b[89:85]; + 30'b???????????1??????????????????: + \12653 = b[94:90]; + 30'b??????????1???????????????????: + \12653 = b[99:95]; + 30'b?????????1????????????????????: + \12653 = b[104:100]; + 30'b????????1?????????????????????: + \12653 = b[109:105]; + 30'b???????1??????????????????????: + \12653 = b[114:110]; + 30'b??????1???????????????????????: + \12653 = b[119:115]; + 30'b?????1????????????????????????: + \12653 = b[124:120]; + 30'b????1?????????????????????????: + \12653 = b[129:125]; + 30'b???1??????????????????????????: + \12653 = b[134:130]; + 30'b??1???????????????????????????: + \12653 = b[139:135]; + 30'b?1????????????????????????????: + \12653 = b[144:140]; + 30'b1?????????????????????????????: + \12653 = b[149:145]; + default: + \12653 = a; + endcase + endfunction + assign _0766_ = \12653 (ctrl[154:150], { ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], _0706_[10:6], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], a_in[26:22], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150], ctrl[154:150] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12656 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12656 = b[3:0]; + 30'b????????????????????????????1?: + \12656 = b[7:4]; + 30'b???????????????????????????1??: + \12656 = b[11:8]; + 30'b??????????????????????????1???: + \12656 = b[15:12]; + 30'b?????????????????????????1????: + \12656 = b[19:16]; + 30'b????????????????????????1?????: + \12656 = b[23:20]; + 30'b???????????????????????1??????: + \12656 = b[27:24]; + 30'b??????????????????????1???????: + \12656 = b[31:28]; + 30'b?????????????????????1????????: + \12656 = b[35:32]; + 30'b????????????????????1?????????: + \12656 = b[39:36]; + 30'b???????????????????1??????????: + \12656 = b[43:40]; + 30'b??????????????????1???????????: + \12656 = b[47:44]; + 30'b?????????????????1????????????: + \12656 = b[51:48]; + 30'b????????????????1?????????????: + \12656 = b[55:52]; + 30'b???????????????1??????????????: + \12656 = b[59:56]; + 30'b??????????????1???????????????: + \12656 = b[63:60]; + 30'b?????????????1????????????????: + \12656 = b[67:64]; + 30'b????????????1?????????????????: + \12656 = b[71:68]; + 30'b???????????1??????????????????: + \12656 = b[75:72]; + 30'b??????????1???????????????????: + \12656 = b[79:76]; + 30'b?????????1????????????????????: + \12656 = b[83:80]; + 30'b????????1?????????????????????: + \12656 = b[87:84]; + 30'b???????1??????????????????????: + \12656 = b[91:88]; + 30'b??????1???????????????????????: + \12656 = b[95:92]; + 30'b?????1????????????????????????: + \12656 = b[99:96]; + 30'b????1?????????????????????????: + \12656 = b[103:100]; + 30'b???1??????????????????????????: + \12656 = b[107:104]; + 30'b??1???????????????????????????: + \12656 = b[111:108]; + 30'b?1????????????????????????????: + \12656 = b[115:112]; + 30'b1?????????????????????????????: + \12656 = b[119:116]; + default: + \12656 = a; + endcase + endfunction + assign _0767_ = \12656 (ctrl[158:155], { ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], _0706_[14:11], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155], ctrl[158:155] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [28:0] \12660 ; + input [28:0] a; + input [869:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12660 = b[28:0]; + 30'b????????????????????????????1?: + \12660 = b[57:29]; + 30'b???????????????????????????1??: + \12660 = b[86:58]; + 30'b??????????????????????????1???: + \12660 = b[115:87]; + 30'b?????????????????????????1????: + \12660 = b[144:116]; + 30'b????????????????????????1?????: + \12660 = b[173:145]; + 30'b???????????????????????1??????: + \12660 = b[202:174]; + 30'b??????????????????????1???????: + \12660 = b[231:203]; + 30'b?????????????????????1????????: + \12660 = b[260:232]; + 30'b????????????????????1?????????: + \12660 = b[289:261]; + 30'b???????????????????1??????????: + \12660 = b[318:290]; + 30'b??????????????????1???????????: + \12660 = b[347:319]; + 30'b?????????????????1????????????: + \12660 = b[376:348]; + 30'b????????????????1?????????????: + \12660 = b[405:377]; + 30'b???????????????1??????????????: + \12660 = b[434:406]; + 30'b??????????????1???????????????: + \12660 = b[463:435]; + 30'b?????????????1????????????????: + \12660 = b[492:464]; + 30'b????????????1?????????????????: + \12660 = b[521:493]; + 30'b???????????1??????????????????: + \12660 = b[550:522]; + 30'b??????????1???????????????????: + \12660 = b[579:551]; + 30'b?????????1????????????????????: + \12660 = b[608:580]; + 30'b????????1?????????????????????: + \12660 = b[637:609]; + 30'b???????1??????????????????????: + \12660 = b[666:638]; + 30'b??????1???????????????????????: + \12660 = b[695:667]; + 30'b?????1????????????????????????: + \12660 = b[724:696]; + 30'b????1?????????????????????????: + \12660 = b[753:725]; + 30'b???1??????????????????????????: + \12660 = b[782:754]; + 30'b??1???????????????????????????: + \12660 = b[811:783]; + 30'b?1????????????????????????????: + \12660 = b[840:812]; + 30'b1?????????????????????????????: + \12660 = b[869:841]; + default: + \12660 = a; + endcase + endfunction + assign _0768_ = \12660 (ctrl[187:159], { ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], _0706_[43:15], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], a_in[59:31], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159], ctrl[187:159] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12663 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12663 = b[0:0]; + 30'b????????????????????????????1?: + \12663 = b[1:1]; + 30'b???????????????????????????1??: + \12663 = b[2:2]; + 30'b??????????????????????????1???: + \12663 = b[3:3]; + 30'b?????????????????????????1????: + \12663 = b[4:4]; + 30'b????????????????????????1?????: + \12663 = b[5:5]; + 30'b???????????????????????1??????: + \12663 = b[6:6]; + 30'b??????????????????????1???????: + \12663 = b[7:7]; + 30'b?????????????????????1????????: + \12663 = b[8:8]; + 30'b????????????????????1?????????: + \12663 = b[9:9]; + 30'b???????????????????1??????????: + \12663 = b[10:10]; + 30'b??????????????????1???????????: + \12663 = b[11:11]; + 30'b?????????????????1????????????: + \12663 = b[12:12]; + 30'b????????????????1?????????????: + \12663 = b[13:13]; + 30'b???????????????1??????????????: + \12663 = b[14:14]; + 30'b??????????????1???????????????: + \12663 = b[15:15]; + 30'b?????????????1????????????????: + \12663 = b[16:16]; + 30'b????????????1?????????????????: + \12663 = b[17:17]; + 30'b???????????1??????????????????: + \12663 = b[18:18]; + 30'b??????????1???????????????????: + \12663 = b[19:19]; + 30'b?????????1????????????????????: + \12663 = b[20:20]; + 30'b????????1?????????????????????: + \12663 = b[21:21]; + 30'b???????1??????????????????????: + \12663 = b[22:22]; + 30'b??????1???????????????????????: + \12663 = b[23:23]; + 30'b?????1????????????????????????: + \12663 = b[24:24]; + 30'b????1?????????????????????????: + \12663 = b[25:25]; + 30'b???1??????????????????????????: + \12663 = b[26:26]; + 30'b??1???????????????????????????: + \12663 = b[27:27]; + 30'b?1????????????????????????????: + \12663 = b[28:28]; + 30'b1?????????????????????????????: + \12663 = b[29:29]; + default: + \12663 = a; + endcase + endfunction + assign _0769_ = \12663 (ctrl[188], { ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], a_in[60], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188], ctrl[188] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [2:0] \12666 ; + input [2:0] a; + input [89:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12666 = b[2:0]; + 30'b????????????????????????????1?: + \12666 = b[5:3]; + 30'b???????????????????????????1??: + \12666 = b[8:6]; + 30'b??????????????????????????1???: + \12666 = b[11:9]; + 30'b?????????????????????????1????: + \12666 = b[14:12]; + 30'b????????????????????????1?????: + \12666 = b[17:15]; + 30'b???????????????????????1??????: + \12666 = b[20:18]; + 30'b??????????????????????1???????: + \12666 = b[23:21]; + 30'b?????????????????????1????????: + \12666 = b[26:24]; + 30'b????????????????????1?????????: + \12666 = b[29:27]; + 30'b???????????????????1??????????: + \12666 = b[32:30]; + 30'b??????????????????1???????????: + \12666 = b[35:33]; + 30'b?????????????????1????????????: + \12666 = b[38:36]; + 30'b????????????????1?????????????: + \12666 = b[41:39]; + 30'b???????????????1??????????????: + \12666 = b[44:42]; + 30'b??????????????1???????????????: + \12666 = b[47:45]; + 30'b?????????????1????????????????: + \12666 = b[50:48]; + 30'b????????????1?????????????????: + \12666 = b[53:51]; + 30'b???????????1??????????????????: + \12666 = b[56:54]; + 30'b??????????1???????????????????: + \12666 = b[59:57]; + 30'b?????????1????????????????????: + \12666 = b[62:60]; + 30'b????????1?????????????????????: + \12666 = b[65:63]; + 30'b???????1??????????????????????: + \12666 = b[68:66]; + 30'b??????1???????????????????????: + \12666 = b[71:69]; + 30'b?????1????????????????????????: + \12666 = b[74:72]; + 30'b????1?????????????????????????: + \12666 = b[77:75]; + 30'b???1??????????????????????????: + \12666 = b[80:78]; + 30'b??1???????????????????????????: + \12666 = b[83:81]; + 30'b?1????????????????????????????: + \12666 = b[86:84]; + 30'b1?????????????????????????????: + \12666 = b[89:87]; + default: + \12666 = a; + endcase + endfunction + assign _0770_ = \12666 (ctrl[191:189], { ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], _0707_, ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], a_in[63:61], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189], ctrl[191:189] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12668 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12668 = b[0:0]; + 30'b????????????????????????????1?: + \12668 = b[1:1]; + 30'b???????????????????????????1??: + \12668 = b[2:2]; + 30'b??????????????????????????1???: + \12668 = b[3:3]; + 30'b?????????????????????????1????: + \12668 = b[4:4]; + 30'b????????????????????????1?????: + \12668 = b[5:5]; + 30'b???????????????????????1??????: + \12668 = b[6:6]; + 30'b??????????????????????1???????: + \12668 = b[7:7]; + 30'b?????????????????????1????????: + \12668 = b[8:8]; + 30'b????????????????????1?????????: + \12668 = b[9:9]; + 30'b???????????????????1??????????: + \12668 = b[10:10]; + 30'b??????????????????1???????????: + \12668 = b[11:11]; + 30'b?????????????????1????????????: + \12668 = b[12:12]; + 30'b????????????????1?????????????: + \12668 = b[13:13]; + 30'b???????????????1??????????????: + \12668 = b[14:14]; + 30'b??????????????1???????????????: + \12668 = b[15:15]; + 30'b?????????????1????????????????: + \12668 = b[16:16]; + 30'b????????????1?????????????????: + \12668 = b[17:17]; + 30'b???????????1??????????????????: + \12668 = b[18:18]; + 30'b??????????1???????????????????: + \12668 = b[19:19]; + 30'b?????????1????????????????????: + \12668 = b[20:20]; + 30'b????????1?????????????????????: + \12668 = b[21:21]; + 30'b???????1??????????????????????: + \12668 = b[22:22]; + 30'b??????1???????????????????????: + \12668 = b[23:23]; + 30'b?????1????????????????????????: + \12668 = b[24:24]; + 30'b????1?????????????????????????: + \12668 = b[25:25]; + 30'b???1??????????????????????????: + \12668 = b[26:26]; + 30'b??1???????????????????????????: + \12668 = b[27:27]; + 30'b?1????????????????????????????: + \12668 = b[28:28]; + 30'b1?????????????????????????????: + \12668 = b[29:29]; + default: + \12668 = a; + endcase + endfunction + assign _0771_ = \12668 (1'h0, { 25'h0000000, _0211_, 4'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12669 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12669 = b[0:0]; + 30'b????????????????????????????1?: + \12669 = b[1:1]; + 30'b???????????????????????????1??: + \12669 = b[2:2]; + 30'b??????????????????????????1???: + \12669 = b[3:3]; + 30'b?????????????????????????1????: + \12669 = b[4:4]; + 30'b????????????????????????1?????: + \12669 = b[5:5]; + 30'b???????????????????????1??????: + \12669 = b[6:6]; + 30'b??????????????????????1???????: + \12669 = b[7:7]; + 30'b?????????????????????1????????: + \12669 = b[8:8]; + 30'b????????????????????1?????????: + \12669 = b[9:9]; + 30'b???????????????????1??????????: + \12669 = b[10:10]; + 30'b??????????????????1???????????: + \12669 = b[11:11]; + 30'b?????????????????1????????????: + \12669 = b[12:12]; + 30'b????????????????1?????????????: + \12669 = b[13:13]; + 30'b???????????????1??????????????: + \12669 = b[14:14]; + 30'b??????????????1???????????????: + \12669 = b[15:15]; + 30'b?????????????1????????????????: + \12669 = b[16:16]; + 30'b????????????1?????????????????: + \12669 = b[17:17]; + 30'b???????????1??????????????????: + \12669 = b[18:18]; + 30'b??????????1???????????????????: + \12669 = b[19:19]; + 30'b?????????1????????????????????: + \12669 = b[20:20]; + 30'b????????1?????????????????????: + \12669 = b[21:21]; + 30'b???????1??????????????????????: + \12669 = b[22:22]; + 30'b??????1???????????????????????: + \12669 = b[23:23]; + 30'b?????1????????????????????????: + \12669 = b[24:24]; + 30'b????1?????????????????????????: + \12669 = b[25:25]; + 30'b???1??????????????????????????: + \12669 = b[26:26]; + 30'b??1???????????????????????????: + \12669 = b[27:27]; + 30'b?1????????????????????????????: + \12669 = b[28:28]; + 30'b1?????????????????????????????: + \12669 = b[29:29]; + default: + \12669 = a; + endcase + endfunction + assign _0772_ = \12669 (1'h0, 30'h10000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12670 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12670 = b[0:0]; + 30'b????????????????????????????1?: + \12670 = b[1:1]; + 30'b???????????????????????????1??: + \12670 = b[2:2]; + 30'b??????????????????????????1???: + \12670 = b[3:3]; + 30'b?????????????????????????1????: + \12670 = b[4:4]; + 30'b????????????????????????1?????: + \12670 = b[5:5]; + 30'b???????????????????????1??????: + \12670 = b[6:6]; + 30'b??????????????????????1???????: + \12670 = b[7:7]; + 30'b?????????????????????1????????: + \12670 = b[8:8]; + 30'b????????????????????1?????????: + \12670 = b[9:9]; + 30'b???????????????????1??????????: + \12670 = b[10:10]; + 30'b??????????????????1???????????: + \12670 = b[11:11]; + 30'b?????????????????1????????????: + \12670 = b[12:12]; + 30'b????????????????1?????????????: + \12670 = b[13:13]; + 30'b???????????????1??????????????: + \12670 = b[14:14]; + 30'b??????????????1???????????????: + \12670 = b[15:15]; + 30'b?????????????1????????????????: + \12670 = b[16:16]; + 30'b????????????1?????????????????: + \12670 = b[17:17]; + 30'b???????????1??????????????????: + \12670 = b[18:18]; + 30'b??????????1???????????????????: + \12670 = b[19:19]; + 30'b?????????1????????????????????: + \12670 = b[20:20]; + 30'b????????1?????????????????????: + \12670 = b[21:21]; + 30'b???????1??????????????????????: + \12670 = b[22:22]; + 30'b??????1???????????????????????: + \12670 = b[23:23]; + 30'b?????1????????????????????????: + \12670 = b[24:24]; + 30'b????1?????????????????????????: + \12670 = b[25:25]; + 30'b???1??????????????????????????: + \12670 = b[26:26]; + 30'b??1???????????????????????????: + \12670 = b[27:27]; + 30'b?1????????????????????????????: + \12670 = b[28:28]; + 30'b1?????????????????????????????: + \12670 = b[29:29]; + default: + \12670 = a; + endcase + endfunction + assign _0773_ = \12670 (1'h0, 30'h20000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12673 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12673 = b[0:0]; + 30'b????????????????????????????1?: + \12673 = b[1:1]; + 30'b???????????????????????????1??: + \12673 = b[2:2]; + 30'b??????????????????????????1???: + \12673 = b[3:3]; + 30'b?????????????????????????1????: + \12673 = b[4:4]; + 30'b????????????????????????1?????: + \12673 = b[5:5]; + 30'b???????????????????????1??????: + \12673 = b[6:6]; + 30'b??????????????????????1???????: + \12673 = b[7:7]; + 30'b?????????????????????1????????: + \12673 = b[8:8]; + 30'b????????????????????1?????????: + \12673 = b[9:9]; + 30'b???????????????????1??????????: + \12673 = b[10:10]; + 30'b??????????????????1???????????: + \12673 = b[11:11]; + 30'b?????????????????1????????????: + \12673 = b[12:12]; + 30'b????????????????1?????????????: + \12673 = b[13:13]; + 30'b???????????????1??????????????: + \12673 = b[14:14]; + 30'b??????????????1???????????????: + \12673 = b[15:15]; + 30'b?????????????1????????????????: + \12673 = b[16:16]; + 30'b????????????1?????????????????: + \12673 = b[17:17]; + 30'b???????????1??????????????????: + \12673 = b[18:18]; + 30'b??????????1???????????????????: + \12673 = b[19:19]; + 30'b?????????1????????????????????: + \12673 = b[20:20]; + 30'b????????1?????????????????????: + \12673 = b[21:21]; + 30'b???????1??????????????????????: + \12673 = b[22:22]; + 30'b??????1???????????????????????: + \12673 = b[23:23]; + 30'b?????1????????????????????????: + \12673 = b[24:24]; + 30'b????1?????????????????????????: + \12673 = b[25:25]; + 30'b???1??????????????????????????: + \12673 = b[26:26]; + 30'b??1???????????????????????????: + \12673 = b[27:27]; + 30'b?1????????????????????????????: + \12673 = b[28:28]; + 30'b1?????????????????????????????: + \12673 = b[29:29]; + default: + \12673 = a; + endcase + endfunction + assign _0774_ = \12673 (1'h1, { 5'h07, _0724_[0], 19'h7feff, _0212_[0], 4'hf }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [2:0] \12679 ; + input [2:0] a; + input [89:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12679 = b[2:0]; + 30'b????????????????????????????1?: + \12679 = b[5:3]; + 30'b???????????????????????????1??: + \12679 = b[8:6]; + 30'b??????????????????????????1???: + \12679 = b[11:9]; + 30'b?????????????????????????1????: + \12679 = b[14:12]; + 30'b????????????????????????1?????: + \12679 = b[17:15]; + 30'b???????????????????????1??????: + \12679 = b[20:18]; + 30'b??????????????????????1???????: + \12679 = b[23:21]; + 30'b?????????????????????1????????: + \12679 = b[26:24]; + 30'b????????????????????1?????????: + \12679 = b[29:27]; + 30'b???????????????????1??????????: + \12679 = b[32:30]; + 30'b??????????????????1???????????: + \12679 = b[35:33]; + 30'b?????????????????1????????????: + \12679 = b[38:36]; + 30'b????????????????1?????????????: + \12679 = b[41:39]; + 30'b???????????????1??????????????: + \12679 = b[44:42]; + 30'b??????????????1???????????????: + \12679 = b[47:45]; + 30'b?????????????1????????????????: + \12679 = b[50:48]; + 30'b????????????1?????????????????: + \12679 = b[53:51]; + 30'b???????????1??????????????????: + \12679 = b[56:54]; + 30'b??????????1???????????????????: + \12679 = b[59:57]; + 30'b?????????1????????????????????: + \12679 = b[62:60]; + 30'b????????1?????????????????????: + \12679 = b[65:63]; + 30'b???????1??????????????????????: + \12679 = b[68:66]; + 30'b??????1???????????????????????: + \12679 = b[71:69]; + 30'b?????1????????????????????????: + \12679 = b[74:72]; + 30'b????1?????????????????????????: + \12679 = b[77:75]; + 30'b???1??????????????????????????: + \12679 = b[80:78]; + 30'b??1???????????????????????????: + \12679 = b[83:81]; + 30'b?1????????????????????????????: + \12679 = b[86:84]; + 30'b1?????????????????????????????: + \12679 = b[89:87]; + default: + \12679 = a; + endcase + endfunction + assign _0775_ = \12679 ({ 1'h0, _0085_, 1'h0 }, { 1'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 1'h0, _0724_[3:1], 1'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 1'h0, _0212_[3:1], 1'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 2'h0, _0085_, 1'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [6:0] \12682 ; + input [6:0] a; + input [209:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12682 = b[6:0]; + 30'b????????????????????????????1?: + \12682 = b[13:7]; + 30'b???????????????????????????1??: + \12682 = b[20:14]; + 30'b??????????????????????????1???: + \12682 = b[27:21]; + 30'b?????????????????????????1????: + \12682 = b[34:28]; + 30'b????????????????????????1?????: + \12682 = b[41:35]; + 30'b???????????????????????1??????: + \12682 = b[48:42]; + 30'b??????????????????????1???????: + \12682 = b[55:49]; + 30'b?????????????????????1????????: + \12682 = b[62:56]; + 30'b????????????????????1?????????: + \12682 = b[69:63]; + 30'b???????????????????1??????????: + \12682 = b[76:70]; + 30'b??????????????????1???????????: + \12682 = b[83:77]; + 30'b?????????????????1????????????: + \12682 = b[90:84]; + 30'b????????????????1?????????????: + \12682 = b[97:91]; + 30'b???????????????1??????????????: + \12682 = b[104:98]; + 30'b??????????????1???????????????: + \12682 = b[111:105]; + 30'b?????????????1????????????????: + \12682 = b[118:112]; + 30'b????????????1?????????????????: + \12682 = b[125:119]; + 30'b???????????1??????????????????: + \12682 = b[132:126]; + 30'b??????????1???????????????????: + \12682 = b[139:133]; + 30'b?????????1????????????????????: + \12682 = b[146:140]; + 30'b????????1?????????????????????: + \12682 = b[153:147]; + 30'b???????1??????????????????????: + \12682 = b[160:154]; + 30'b??????1???????????????????????: + \12682 = b[167:161]; + 30'b?????1????????????????????????: + \12682 = b[174:168]; + 30'b????1?????????????????????????: + \12682 = b[181:175]; + 30'b???1??????????????????????????: + \12682 = b[188:182]; + 30'b??1???????????????????????????: + \12682 = b[195:189]; + 30'b?1????????????????????????????: + \12682 = b[202:196]; + 30'b1?????????????????????????????: + \12682 = b[209:203]; + default: + \12682 = a; + endcase + endfunction + assign _0776_ = \12682 (e_in[79:73], { e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], _0724_[10:4], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], _0370_, _0352_, e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73], _0212_[10:4], e_in[79:73], e_in[79:73], e_in[79:73], e_in[79:73] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [63:0] \12686 ; + input [63:0] a; + input [1919:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12686 = b[63:0]; + 30'b????????????????????????????1?: + \12686 = b[127:64]; + 30'b???????????????????????????1??: + \12686 = b[191:128]; + 30'b??????????????????????????1???: + \12686 = b[255:192]; + 30'b?????????????????????????1????: + \12686 = b[319:256]; + 30'b????????????????????????1?????: + \12686 = b[383:320]; + 30'b???????????????????????1??????: + \12686 = b[447:384]; + 30'b??????????????????????1???????: + \12686 = b[511:448]; + 30'b?????????????????????1????????: + \12686 = b[575:512]; + 30'b????????????????????1?????????: + \12686 = b[639:576]; + 30'b???????????????????1??????????: + \12686 = b[703:640]; + 30'b??????????????????1???????????: + \12686 = b[767:704]; + 30'b?????????????????1????????????: + \12686 = b[831:768]; + 30'b????????????????1?????????????: + \12686 = b[895:832]; + 30'b???????????????1??????????????: + \12686 = b[959:896]; + 30'b??????????????1???????????????: + \12686 = b[1023:960]; + 30'b?????????????1????????????????: + \12686 = b[1087:1024]; + 30'b????????????1?????????????????: + \12686 = b[1151:1088]; + 30'b???????????1??????????????????: + \12686 = b[1215:1152]; + 30'b??????????1???????????????????: + \12686 = b[1279:1216]; + 30'b?????????1????????????????????: + \12686 = b[1343:1280]; + 30'b????????1?????????????????????: + \12686 = b[1407:1344]; + 30'b???????1??????????????????????: + \12686 = b[1471:1408]; + 30'b??????1???????????????????????: + \12686 = b[1535:1472]; + 30'b?????1????????????????????????: + \12686 = b[1599:1536]; + 30'b????1?????????????????????????: + \12686 = b[1663:1600]; + 30'b???1??????????????????????????: + \12686 = b[1727:1664]; + 30'b??1???????????????????????????: + \12686 = b[1791:1728]; + 30'b?1????????????????????????????: + \12686 = b[1855:1792]; + 30'b1?????????????????????????????: + \12686 = b[1919:1856]; + default: + \12686 = a; + endcase + endfunction + assign _0777_ = \12686 (64'h0000000000000000, { 320'h00000000000000000000000000000000000000000000000000000000000000000000000000000000, _0724_[74:11], 1216'h0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000, _0212_[74:11], 256'h0000000000000000000000000000000000000000000000000000000000000000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12691 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12691 = b[0:0]; + 30'b????????????????????????????1?: + \12691 = b[1:1]; + 30'b???????????????????????????1??: + \12691 = b[2:2]; + 30'b??????????????????????????1???: + \12691 = b[3:3]; + 30'b?????????????????????????1????: + \12691 = b[4:4]; + 30'b????????????????????????1?????: + \12691 = b[5:5]; + 30'b???????????????????????1??????: + \12691 = b[6:6]; + 30'b??????????????????????1???????: + \12691 = b[7:7]; + 30'b?????????????????????1????????: + \12691 = b[8:8]; + 30'b????????????????????1?????????: + \12691 = b[9:9]; + 30'b???????????????????1??????????: + \12691 = b[10:10]; + 30'b??????????????????1???????????: + \12691 = b[11:11]; + 30'b?????????????????1????????????: + \12691 = b[12:12]; + 30'b????????????????1?????????????: + \12691 = b[13:13]; + 30'b???????????????1??????????????: + \12691 = b[14:14]; + 30'b??????????????1???????????????: + \12691 = b[15:15]; + 30'b?????????????1????????????????: + \12691 = b[16:16]; + 30'b????????????1?????????????????: + \12691 = b[17:17]; + 30'b???????????1??????????????????: + \12691 = b[18:18]; + 30'b??????????1???????????????????: + \12691 = b[19:19]; + 30'b?????????1????????????????????: + \12691 = b[20:20]; + 30'b????????1?????????????????????: + \12691 = b[21:21]; + 30'b???????1??????????????????????: + \12691 = b[22:22]; + 30'b??????1???????????????????????: + \12691 = b[23:23]; + 30'b?????1????????????????????????: + \12691 = b[24:24]; + 30'b????1?????????????????????????: + \12691 = b[25:25]; + 30'b???1??????????????????????????: + \12691 = b[26:26]; + 30'b??1???????????????????????????: + \12691 = b[27:27]; + 30'b?1????????????????????????????: + \12691 = b[28:28]; + 30'b1?????????????????????????????: + \12691 = b[29:29]; + default: + \12691 = a; + endcase + endfunction + assign _0778_ = \12691 (1'h0, { 5'h00, _0724_[75], 8'h21, _0500_[0], 10'h006, _0213_[0], 4'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [7:0] \12696 ; + input [7:0] a; + input [239:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12696 = b[7:0]; + 30'b????????????????????????????1?: + \12696 = b[15:8]; + 30'b???????????????????????????1??: + \12696 = b[23:16]; + 30'b??????????????????????????1???: + \12696 = b[31:24]; + 30'b?????????????????????????1????: + \12696 = b[39:32]; + 30'b????????????????????????1?????: + \12696 = b[47:40]; + 30'b???????????????????????1??????: + \12696 = b[55:48]; + 30'b??????????????????????1???????: + \12696 = b[63:56]; + 30'b?????????????????????1????????: + \12696 = b[71:64]; + 30'b????????????????????1?????????: + \12696 = b[79:72]; + 30'b???????????????????1??????????: + \12696 = b[87:80]; + 30'b??????????????????1???????????: + \12696 = b[95:88]; + 30'b?????????????????1????????????: + \12696 = b[103:96]; + 30'b????????????????1?????????????: + \12696 = b[111:104]; + 30'b???????????????1??????????????: + \12696 = b[119:112]; + 30'b??????????????1???????????????: + \12696 = b[127:120]; + 30'b?????????????1????????????????: + \12696 = b[135:128]; + 30'b????????????1?????????????????: + \12696 = b[143:136]; + 30'b???????????1??????????????????: + \12696 = b[151:144]; + 30'b??????????1???????????????????: + \12696 = b[159:152]; + 30'b?????????1????????????????????: + \12696 = b[167:160]; + 30'b????????1?????????????????????: + \12696 = b[175:168]; + 30'b???????1??????????????????????: + \12696 = b[183:176]; + 30'b??????1???????????????????????: + \12696 = b[191:184]; + 30'b?????1????????????????????????: + \12696 = b[199:192]; + 30'b????1?????????????????????????: + \12696 = b[207:200]; + 30'b???1??????????????????????????: + \12696 = b[215:208]; + 30'b??1???????????????????????????: + \12696 = b[223:216]; + 30'b?1????????????????????????????: + \12696 = b[231:224]; + 30'b1?????????????????????????????: + \12696 = b[239:232]; + default: + \12696 = a; + endcase + endfunction + assign _0779_ = \12696 (8'h00, { 40'h0000000000, _0724_[83:76], 16'h0000, _0695_, 32'h00000000, _0510_, _0500_[8:1], 56'h00000000000000, _0329_, _0303_, 8'h00, _0213_[8:1], 32'h00000000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [31:0] \12701 ; + input [31:0] a; + input [959:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12701 = b[31:0]; + 30'b????????????????????????????1?: + \12701 = b[63:32]; + 30'b???????????????????????????1??: + \12701 = b[95:64]; + 30'b??????????????????????????1???: + \12701 = b[127:96]; + 30'b?????????????????????????1????: + \12701 = b[159:128]; + 30'b????????????????????????1?????: + \12701 = b[191:160]; + 30'b???????????????????????1??????: + \12701 = b[223:192]; + 30'b??????????????????????1???????: + \12701 = b[255:224]; + 30'b?????????????????????1????????: + \12701 = b[287:256]; + 30'b????????????????????1?????????: + \12701 = b[319:288]; + 30'b???????????????????1??????????: + \12701 = b[351:320]; + 30'b??????????????????1???????????: + \12701 = b[383:352]; + 30'b?????????????????1????????????: + \12701 = b[415:384]; + 30'b????????????????1?????????????: + \12701 = b[447:416]; + 30'b???????????????1??????????????: + \12701 = b[479:448]; + 30'b??????????????1???????????????: + \12701 = b[511:480]; + 30'b?????????????1????????????????: + \12701 = b[543:512]; + 30'b????????????1?????????????????: + \12701 = b[575:544]; + 30'b???????????1??????????????????: + \12701 = b[607:576]; + 30'b??????????1???????????????????: + \12701 = b[639:608]; + 30'b?????????1????????????????????: + \12701 = b[671:640]; + 30'b????????1?????????????????????: + \12701 = b[703:672]; + 30'b???????1??????????????????????: + \12701 = b[735:704]; + 30'b??????1???????????????????????: + \12701 = b[767:736]; + 30'b?????1????????????????????????: + \12701 = b[799:768]; + 30'b????1?????????????????????????: + \12701 = b[831:800]; + 30'b???1??????????????????????????: + \12701 = b[863:832]; + 30'b??1???????????????????????????: + \12701 = b[895:864]; + 30'b?1????????????????????????????: + \12701 = b[927:896]; + 30'b1?????????????????????????????: + \12701 = b[959:928]; + default: + \12701 = a; + endcase + endfunction + assign _0780_ = \12701 (32'd0, { 160'h0000000000000000000000000000000000000000, _0724_[115:84], 64'h0000000000000000, c_in[31:0], 128'h00000000000000000000000000000000, _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0012_[2], _0012_[0], _0012_[3], _0012_[1], _0500_[40:9], 225'h000000000000000000000000000000000000000000000000000000000, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0320_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 3'h0, _0294_, 34'h000000000, _0213_[40:9], 128'h00000000000000000000000000000000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [5:0] \12706 ; + input [5:0] a; + input [179:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12706 = b[5:0]; + 30'b????????????????????????????1?: + \12706 = b[11:6]; + 30'b???????????????????????????1??: + \12706 = b[17:12]; + 30'b??????????????????????????1???: + \12706 = b[23:18]; + 30'b?????????????????????????1????: + \12706 = b[29:24]; + 30'b????????????????????????1?????: + \12706 = b[35:30]; + 30'b???????????????????????1??????: + \12706 = b[41:36]; + 30'b??????????????????????1???????: + \12706 = b[47:42]; + 30'b?????????????????????1????????: + \12706 = b[53:48]; + 30'b????????????????????1?????????: + \12706 = b[59:54]; + 30'b???????????????????1??????????: + \12706 = b[65:60]; + 30'b??????????????????1???????????: + \12706 = b[71:66]; + 30'b?????????????????1????????????: + \12706 = b[77:72]; + 30'b????????????????1?????????????: + \12706 = b[83:78]; + 30'b???????????????1??????????????: + \12706 = b[89:84]; + 30'b??????????????1???????????????: + \12706 = b[95:90]; + 30'b?????????????1????????????????: + \12706 = b[101:96]; + 30'b????????????1?????????????????: + \12706 = b[107:102]; + 30'b???????????1??????????????????: + \12706 = b[113:108]; + 30'b??????????1???????????????????: + \12706 = b[119:114]; + 30'b?????????1????????????????????: + \12706 = b[125:120]; + 30'b????????1?????????????????????: + \12706 = b[131:126]; + 30'b???????1??????????????????????: + \12706 = b[137:132]; + 30'b??????1???????????????????????: + \12706 = b[143:138]; + 30'b?????1????????????????????????: + \12706 = b[149:144]; + 30'b????1?????????????????????????: + \12706 = b[155:150]; + 30'b???1??????????????????????????: + \12706 = b[161:156]; + 30'b??1???????????????????????????: + \12706 = b[167:162]; + 30'b?1????????????????????????????: + \12706 = b[173:168]; + 30'b1?????????????????????????????: + \12706 = b[179:174]; + default: + \12706 = a; + endcase + endfunction + assign _0781_ = \12706 ({ _0012_, 1'h0 }, { _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0724_[121:116], _0718_, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0214_[5:0], _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0, _0012_, 1'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [71:0] \12710 ; + input [71:0] a; + input [2159:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12710 = b[71:0]; + 30'b????????????????????????????1?: + \12710 = b[143:72]; + 30'b???????????????????????????1??: + \12710 = b[215:144]; + 30'b??????????????????????????1???: + \12710 = b[287:216]; + 30'b?????????????????????????1????: + \12710 = b[359:288]; + 30'b????????????????????????1?????: + \12710 = b[431:360]; + 30'b???????????????????????1??????: + \12710 = b[503:432]; + 30'b??????????????????????1???????: + \12710 = b[575:504]; + 30'b?????????????????????1????????: + \12710 = b[647:576]; + 30'b????????????????????1?????????: + \12710 = b[719:648]; + 30'b???????????????????1??????????: + \12710 = b[791:720]; + 30'b??????????????????1???????????: + \12710 = b[863:792]; + 30'b?????????????????1????????????: + \12710 = b[935:864]; + 30'b????????????????1?????????????: + \12710 = b[1007:936]; + 30'b???????????????1??????????????: + \12710 = b[1079:1008]; + 30'b??????????????1???????????????: + \12710 = b[1151:1080]; + 30'b?????????????1????????????????: + \12710 = b[1223:1152]; + 30'b????????????1?????????????????: + \12710 = b[1295:1224]; + 30'b???????????1??????????????????: + \12710 = b[1367:1296]; + 30'b??????????1???????????????????: + \12710 = b[1439:1368]; + 30'b?????????1????????????????????: + \12710 = b[1511:1440]; + 30'b????????1?????????????????????: + \12710 = b[1583:1512]; + 30'b???????1??????????????????????: + \12710 = b[1655:1584]; + 30'b??????1???????????????????????: + \12710 = b[1727:1656]; + 30'b?????1????????????????????????: + \12710 = b[1799:1728]; + 30'b????1?????????????????????????: + \12710 = b[1871:1800]; + 30'b???1??????????????????????????: + \12710 = b[1943:1872]; + 30'b??1???????????????????????????: + \12710 = b[2015:1944]; + 30'b?1????????????????????????????: + \12710 = b[2087:2016]; + 30'b1?????????????????????????????: + \12710 = b[2159:2088]; + default: + \12710 = a; + endcase + endfunction + assign _0782_ = \12710 ({ _0083_, 8'h44 }, { _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0724_[193:122], _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0214_[77:6], _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44, _0083_, 8'h44 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12711 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12711 = b[0:0]; + 30'b????????????????????????????1?: + \12711 = b[1:1]; + 30'b???????????????????????????1??: + \12711 = b[2:2]; + 30'b??????????????????????????1???: + \12711 = b[3:3]; + 30'b?????????????????????????1????: + \12711 = b[4:4]; + 30'b????????????????????????1?????: + \12711 = b[5:5]; + 30'b???????????????????????1??????: + \12711 = b[6:6]; + 30'b??????????????????????1???????: + \12711 = b[7:7]; + 30'b?????????????????????1????????: + \12711 = b[8:8]; + 30'b????????????????????1?????????: + \12711 = b[9:9]; + 30'b???????????????????1??????????: + \12711 = b[10:10]; + 30'b??????????????????1???????????: + \12711 = b[11:11]; + 30'b?????????????????1????????????: + \12711 = b[12:12]; + 30'b????????????????1?????????????: + \12711 = b[13:13]; + 30'b???????????????1??????????????: + \12711 = b[14:14]; + 30'b??????????????1???????????????: + \12711 = b[15:15]; + 30'b?????????????1????????????????: + \12711 = b[16:16]; + 30'b????????????1?????????????????: + \12711 = b[17:17]; + 30'b???????????1??????????????????: + \12711 = b[18:18]; + 30'b??????????1???????????????????: + \12711 = b[19:19]; + 30'b?????????1????????????????????: + \12711 = b[20:20]; + 30'b????????1?????????????????????: + \12711 = b[21:21]; + 30'b???????1??????????????????????: + \12711 = b[22:22]; + 30'b??????1???????????????????????: + \12711 = b[23:23]; + 30'b?????1????????????????????????: + \12711 = b[24:24]; + 30'b????1?????????????????????????: + \12711 = b[25:25]; + 30'b???1??????????????????????????: + \12711 = b[26:26]; + 30'b??1???????????????????????????: + \12711 = b[27:27]; + 30'b?1????????????????????????????: + \12711 = b[28:28]; + 30'b1?????????????????????????????: + \12711 = b[29:29]; + default: + \12711 = a; + endcase + endfunction + assign _0783_ = \12711 (1'h0, 30'h04000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12712 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12712 = b[0:0]; + 30'b????????????????????????????1?: + \12712 = b[1:1]; + 30'b???????????????????????????1??: + \12712 = b[2:2]; + 30'b??????????????????????????1???: + \12712 = b[3:3]; + 30'b?????????????????????????1????: + \12712 = b[4:4]; + 30'b????????????????????????1?????: + \12712 = b[5:5]; + 30'b???????????????????????1??????: + \12712 = b[6:6]; + 30'b??????????????????????1???????: + \12712 = b[7:7]; + 30'b?????????????????????1????????: + \12712 = b[8:8]; + 30'b????????????????????1?????????: + \12712 = b[9:9]; + 30'b???????????????????1??????????: + \12712 = b[10:10]; + 30'b??????????????????1???????????: + \12712 = b[11:11]; + 30'b?????????????????1????????????: + \12712 = b[12:12]; + 30'b????????????????1?????????????: + \12712 = b[13:13]; + 30'b???????????????1??????????????: + \12712 = b[14:14]; + 30'b??????????????1???????????????: + \12712 = b[15:15]; + 30'b?????????????1????????????????: + \12712 = b[16:16]; + 30'b????????????1?????????????????: + \12712 = b[17:17]; + 30'b???????????1??????????????????: + \12712 = b[18:18]; + 30'b??????????1???????????????????: + \12712 = b[19:19]; + 30'b?????????1????????????????????: + \12712 = b[20:20]; + 30'b????????1?????????????????????: + \12712 = b[21:21]; + 30'b???????1??????????????????????: + \12712 = b[22:22]; + 30'b??????1???????????????????????: + \12712 = b[23:23]; + 30'b?????1????????????????????????: + \12712 = b[24:24]; + 30'b????1?????????????????????????: + \12712 = b[25:25]; + 30'b???1??????????????????????????: + \12712 = b[26:26]; + 30'b??1???????????????????????????: + \12712 = b[27:27]; + 30'b?1????????????????????????????: + \12712 = b[28:28]; + 30'b1?????????????????????????????: + \12712 = b[29:29]; + default: + \12712 = a; + endcase + endfunction + assign _0784_ = \12712 (ctrl[133], { ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], _0384_, ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133], ctrl[133] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12713 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12713 = b[0:0]; + 30'b????????????????????????????1?: + \12713 = b[1:1]; + 30'b???????????????????????????1??: + \12713 = b[2:2]; + 30'b??????????????????????????1???: + \12713 = b[3:3]; + 30'b?????????????????????????1????: + \12713 = b[4:4]; + 30'b????????????????????????1?????: + \12713 = b[5:5]; + 30'b???????????????????????1??????: + \12713 = b[6:6]; + 30'b??????????????????????1???????: + \12713 = b[7:7]; + 30'b?????????????????????1????????: + \12713 = b[8:8]; + 30'b????????????????????1?????????: + \12713 = b[9:9]; + 30'b???????????????????1??????????: + \12713 = b[10:10]; + 30'b??????????????????1???????????: + \12713 = b[11:11]; + 30'b?????????????????1????????????: + \12713 = b[12:12]; + 30'b????????????????1?????????????: + \12713 = b[13:13]; + 30'b???????????????1??????????????: + \12713 = b[14:14]; + 30'b??????????????1???????????????: + \12713 = b[15:15]; + 30'b?????????????1????????????????: + \12713 = b[16:16]; + 30'b????????????1?????????????????: + \12713 = b[17:17]; + 30'b???????????1??????????????????: + \12713 = b[18:18]; + 30'b??????????1???????????????????: + \12713 = b[19:19]; + 30'b?????????1????????????????????: + \12713 = b[20:20]; + 30'b????????1?????????????????????: + \12713 = b[21:21]; + 30'b???????1??????????????????????: + \12713 = b[22:22]; + 30'b??????1???????????????????????: + \12713 = b[23:23]; + 30'b?????1????????????????????????: + \12713 = b[24:24]; + 30'b????1?????????????????????????: + \12713 = b[25:25]; + 30'b???1??????????????????????????: + \12713 = b[26:26]; + 30'b??1???????????????????????????: + \12713 = b[27:27]; + 30'b?1????????????????????????????: + \12713 = b[28:28]; + 30'b1?????????????????????????????: + \12713 = b[29:29]; + default: + \12713 = a; + endcase + endfunction + assign _0785_ = \12713 (_0071_, { _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0385_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_, _0071_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12714 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12714 = b[0:0]; + 30'b????????????????????????????1?: + \12714 = b[1:1]; + 30'b???????????????????????????1??: + \12714 = b[2:2]; + 30'b??????????????????????????1???: + \12714 = b[3:3]; + 30'b?????????????????????????1????: + \12714 = b[4:4]; + 30'b????????????????????????1?????: + \12714 = b[5:5]; + 30'b???????????????????????1??????: + \12714 = b[6:6]; + 30'b??????????????????????1???????: + \12714 = b[7:7]; + 30'b?????????????????????1????????: + \12714 = b[8:8]; + 30'b????????????????????1?????????: + \12714 = b[9:9]; + 30'b???????????????????1??????????: + \12714 = b[10:10]; + 30'b??????????????????1???????????: + \12714 = b[11:11]; + 30'b?????????????????1????????????: + \12714 = b[12:12]; + 30'b????????????????1?????????????: + \12714 = b[13:13]; + 30'b???????????????1??????????????: + \12714 = b[14:14]; + 30'b??????????????1???????????????: + \12714 = b[15:15]; + 30'b?????????????1????????????????: + \12714 = b[16:16]; + 30'b????????????1?????????????????: + \12714 = b[17:17]; + 30'b???????????1??????????????????: + \12714 = b[18:18]; + 30'b??????????1???????????????????: + \12714 = b[19:19]; + 30'b?????????1????????????????????: + \12714 = b[20:20]; + 30'b????????1?????????????????????: + \12714 = b[21:21]; + 30'b???????1??????????????????????: + \12714 = b[22:22]; + 30'b??????1???????????????????????: + \12714 = b[23:23]; + 30'b?????1????????????????????????: + \12714 = b[24:24]; + 30'b????1?????????????????????????: + \12714 = b[25:25]; + 30'b???1??????????????????????????: + \12714 = b[26:26]; + 30'b??1???????????????????????????: + \12714 = b[27:27]; + 30'b?1????????????????????????????: + \12714 = b[28:28]; + 30'b1?????????????????????????????: + \12714 = b[29:29]; + default: + \12714 = a; + endcase + endfunction + assign _0786_ = \12714 (_0072_, { _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0386_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_, _0072_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12715 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12715 = b[0:0]; + 30'b????????????????????????????1?: + \12715 = b[1:1]; + 30'b???????????????????????????1??: + \12715 = b[2:2]; + 30'b??????????????????????????1???: + \12715 = b[3:3]; + 30'b?????????????????????????1????: + \12715 = b[4:4]; + 30'b????????????????????????1?????: + \12715 = b[5:5]; + 30'b???????????????????????1??????: + \12715 = b[6:6]; + 30'b??????????????????????1???????: + \12715 = b[7:7]; + 30'b?????????????????????1????????: + \12715 = b[8:8]; + 30'b????????????????????1?????????: + \12715 = b[9:9]; + 30'b???????????????????1??????????: + \12715 = b[10:10]; + 30'b??????????????????1???????????: + \12715 = b[11:11]; + 30'b?????????????????1????????????: + \12715 = b[12:12]; + 30'b????????????????1?????????????: + \12715 = b[13:13]; + 30'b???????????????1??????????????: + \12715 = b[14:14]; + 30'b??????????????1???????????????: + \12715 = b[15:15]; + 30'b?????????????1????????????????: + \12715 = b[16:16]; + 30'b????????????1?????????????????: + \12715 = b[17:17]; + 30'b???????????1??????????????????: + \12715 = b[18:18]; + 30'b??????????1???????????????????: + \12715 = b[19:19]; + 30'b?????????1????????????????????: + \12715 = b[20:20]; + 30'b????????1?????????????????????: + \12715 = b[21:21]; + 30'b???????1??????????????????????: + \12715 = b[22:22]; + 30'b??????1???????????????????????: + \12715 = b[23:23]; + 30'b?????1????????????????????????: + \12715 = b[24:24]; + 30'b????1?????????????????????????: + \12715 = b[25:25]; + 30'b???1??????????????????????????: + \12715 = b[26:26]; + 30'b??1???????????????????????????: + \12715 = b[27:27]; + 30'b?1????????????????????????????: + \12715 = b[28:28]; + 30'b1?????????????????????????????: + \12715 = b[29:29]; + default: + \12715 = a; + endcase + endfunction + assign _0787_ = \12715 (_0073_, { _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0387_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_, _0073_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [63:0] \12716 ; + input [63:0] a; + input [1919:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12716 = b[63:0]; + 30'b????????????????????????????1?: + \12716 = b[127:64]; + 30'b???????????????????????????1??: + \12716 = b[191:128]; + 30'b??????????????????????????1???: + \12716 = b[255:192]; + 30'b?????????????????????????1????: + \12716 = b[319:256]; + 30'b????????????????????????1?????: + \12716 = b[383:320]; + 30'b???????????????????????1??????: + \12716 = b[447:384]; + 30'b??????????????????????1???????: + \12716 = b[511:448]; + 30'b?????????????????????1????????: + \12716 = b[575:512]; + 30'b????????????????????1?????????: + \12716 = b[639:576]; + 30'b???????????????????1??????????: + \12716 = b[703:640]; + 30'b??????????????????1???????????: + \12716 = b[767:704]; + 30'b?????????????????1????????????: + \12716 = b[831:768]; + 30'b????????????????1?????????????: + \12716 = b[895:832]; + 30'b???????????????1??????????????: + \12716 = b[959:896]; + 30'b??????????????1???????????????: + \12716 = b[1023:960]; + 30'b?????????????1????????????????: + \12716 = b[1087:1024]; + 30'b????????????1?????????????????: + \12716 = b[1151:1088]; + 30'b???????????1??????????????????: + \12716 = b[1215:1152]; + 30'b??????????1???????????????????: + \12716 = b[1279:1216]; + 30'b?????????1????????????????????: + \12716 = b[1343:1280]; + 30'b????????1?????????????????????: + \12716 = b[1407:1344]; + 30'b???????1??????????????????????: + \12716 = b[1471:1408]; + 30'b??????1???????????????????????: + \12716 = b[1535:1472]; + 30'b?????1????????????????????????: + \12716 = b[1599:1536]; + 30'b????1?????????????????????????: + \12716 = b[1663:1600]; + 30'b???1??????????????????????????: + \12716 = b[1727:1664]; + 30'b??1???????????????????????????: + \12716 = b[1791:1728]; + 30'b?1????????????????????????????: + \12716 = b[1855:1792]; + 30'b1?????????????????????????????: + \12716 = b[1919:1856]; + default: + \12716 = a; + endcase + endfunction + assign _0788_ = \12716 (_0069_, { _0069_, _0069_, _0069_, _0074_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0069_, _0215_, _0069_, _0069_, _0128_, _0069_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12717 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12717 = b[0:0]; + 30'b????????????????????????????1?: + \12717 = b[1:1]; + 30'b???????????????????????????1??: + \12717 = b[2:2]; + 30'b??????????????????????????1???: + \12717 = b[3:3]; + 30'b?????????????????????????1????: + \12717 = b[4:4]; + 30'b????????????????????????1?????: + \12717 = b[5:5]; + 30'b???????????????????????1??????: + \12717 = b[6:6]; + 30'b??????????????????????1???????: + \12717 = b[7:7]; + 30'b?????????????????????1????????: + \12717 = b[8:8]; + 30'b????????????????????1?????????: + \12717 = b[9:9]; + 30'b???????????????????1??????????: + \12717 = b[10:10]; + 30'b??????????????????1???????????: + \12717 = b[11:11]; + 30'b?????????????????1????????????: + \12717 = b[12:12]; + 30'b????????????????1?????????????: + \12717 = b[13:13]; + 30'b???????????????1??????????????: + \12717 = b[14:14]; + 30'b??????????????1???????????????: + \12717 = b[15:15]; + 30'b?????????????1????????????????: + \12717 = b[16:16]; + 30'b????????????1?????????????????: + \12717 = b[17:17]; + 30'b???????????1??????????????????: + \12717 = b[18:18]; + 30'b??????????1???????????????????: + \12717 = b[19:19]; + 30'b?????????1????????????????????: + \12717 = b[20:20]; + 30'b????????1?????????????????????: + \12717 = b[21:21]; + 30'b???????1??????????????????????: + \12717 = b[22:22]; + 30'b??????1???????????????????????: + \12717 = b[23:23]; + 30'b?????1????????????????????????: + \12717 = b[24:24]; + 30'b????1?????????????????????????: + \12717 = b[25:25]; + 30'b???1??????????????????????????: + \12717 = b[26:26]; + 30'b??1???????????????????????????: + \12717 = b[27:27]; + 30'b?1????????????????????????????: + \12717 = b[28:28]; + 30'b1?????????????????????????????: + \12717 = b[29:29]; + default: + \12717 = a; + endcase + endfunction + assign _0789_ = \12717 (1'h0, 30'h30002000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12718 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12718 = b[0:0]; + 30'b????????????????????????????1?: + \12718 = b[1:1]; + 30'b???????????????????????????1??: + \12718 = b[2:2]; + 30'b??????????????????????????1???: + \12718 = b[3:3]; + 30'b?????????????????????????1????: + \12718 = b[4:4]; + 30'b????????????????????????1?????: + \12718 = b[5:5]; + 30'b???????????????????????1??????: + \12718 = b[6:6]; + 30'b??????????????????????1???????: + \12718 = b[7:7]; + 30'b?????????????????????1????????: + \12718 = b[8:8]; + 30'b????????????????????1?????????: + \12718 = b[9:9]; + 30'b???????????????????1??????????: + \12718 = b[10:10]; + 30'b??????????????????1???????????: + \12718 = b[11:11]; + 30'b?????????????????1????????????: + \12718 = b[12:12]; + 30'b????????????????1?????????????: + \12718 = b[13:13]; + 30'b???????????????1??????????????: + \12718 = b[14:14]; + 30'b??????????????1???????????????: + \12718 = b[15:15]; + 30'b?????????????1????????????????: + \12718 = b[16:16]; + 30'b????????????1?????????????????: + \12718 = b[17:17]; + 30'b???????????1??????????????????: + \12718 = b[18:18]; + 30'b??????????1???????????????????: + \12718 = b[19:19]; + 30'b?????????1????????????????????: + \12718 = b[20:20]; + 30'b????????1?????????????????????: + \12718 = b[21:21]; + 30'b???????1??????????????????????: + \12718 = b[22:22]; + 30'b??????1???????????????????????: + \12718 = b[23:23]; + 30'b?????1????????????????????????: + \12718 = b[24:24]; + 30'b????1?????????????????????????: + \12718 = b[25:25]; + 30'b???1??????????????????????????: + \12718 = b[26:26]; + 30'b??1???????????????????????????: + \12718 = b[27:27]; + 30'b?1????????????????????????????: + \12718 = b[28:28]; + 30'b1?????????????????????????????: + \12718 = b[29:29]; + default: + \12718 = a; + endcase + endfunction + assign _0790_ = \12718 (1'h1, { 27'h0000000, _0134_, 2'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12719 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12719 = b[0:0]; + 30'b????????????????????????????1?: + \12719 = b[1:1]; + 30'b???????????????????????????1??: + \12719 = b[2:2]; + 30'b??????????????????????????1???: + \12719 = b[3:3]; + 30'b?????????????????????????1????: + \12719 = b[4:4]; + 30'b????????????????????????1?????: + \12719 = b[5:5]; + 30'b???????????????????????1??????: + \12719 = b[6:6]; + 30'b??????????????????????1???????: + \12719 = b[7:7]; + 30'b?????????????????????1????????: + \12719 = b[8:8]; + 30'b????????????????????1?????????: + \12719 = b[9:9]; + 30'b???????????????????1??????????: + \12719 = b[10:10]; + 30'b??????????????????1???????????: + \12719 = b[11:11]; + 30'b?????????????????1????????????: + \12719 = b[12:12]; + 30'b????????????????1?????????????: + \12719 = b[13:13]; + 30'b???????????????1??????????????: + \12719 = b[14:14]; + 30'b??????????????1???????????????: + \12719 = b[15:15]; + 30'b?????????????1????????????????: + \12719 = b[16:16]; + 30'b????????????1?????????????????: + \12719 = b[17:17]; + 30'b???????????1??????????????????: + \12719 = b[18:18]; + 30'b??????????1???????????????????: + \12719 = b[19:19]; + 30'b?????????1????????????????????: + \12719 = b[20:20]; + 30'b????????1?????????????????????: + \12719 = b[21:21]; + 30'b???????1??????????????????????: + \12719 = b[22:22]; + 30'b??????1???????????????????????: + \12719 = b[23:23]; + 30'b?????1????????????????????????: + \12719 = b[24:24]; + 30'b????1?????????????????????????: + \12719 = b[25:25]; + 30'b???1??????????????????????????: + \12719 = b[26:26]; + 30'b??1???????????????????????????: + \12719 = b[27:27]; + 30'b?1????????????????????????????: + \12719 = b[28:28]; + 30'b1?????????????????????????????: + \12719 = b[29:29]; + default: + \12719 = a; + endcase + endfunction + assign _0791_ = \12719 (1'h0, 30'h10000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12720 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12720 = b[0:0]; + 30'b????????????????????????????1?: + \12720 = b[1:1]; + 30'b???????????????????????????1??: + \12720 = b[2:2]; + 30'b??????????????????????????1???: + \12720 = b[3:3]; + 30'b?????????????????????????1????: + \12720 = b[4:4]; + 30'b????????????????????????1?????: + \12720 = b[5:5]; + 30'b???????????????????????1??????: + \12720 = b[6:6]; + 30'b??????????????????????1???????: + \12720 = b[7:7]; + 30'b?????????????????????1????????: + \12720 = b[8:8]; + 30'b????????????????????1?????????: + \12720 = b[9:9]; + 30'b???????????????????1??????????: + \12720 = b[10:10]; + 30'b??????????????????1???????????: + \12720 = b[11:11]; + 30'b?????????????????1????????????: + \12720 = b[12:12]; + 30'b????????????????1?????????????: + \12720 = b[13:13]; + 30'b???????????????1??????????????: + \12720 = b[14:14]; + 30'b??????????????1???????????????: + \12720 = b[15:15]; + 30'b?????????????1????????????????: + \12720 = b[16:16]; + 30'b????????????1?????????????????: + \12720 = b[17:17]; + 30'b???????????1??????????????????: + \12720 = b[18:18]; + 30'b??????????1???????????????????: + \12720 = b[19:19]; + 30'b?????????1????????????????????: + \12720 = b[20:20]; + 30'b????????1?????????????????????: + \12720 = b[21:21]; + 30'b???????1??????????????????????: + \12720 = b[22:22]; + 30'b??????1???????????????????????: + \12720 = b[23:23]; + 30'b?????1????????????????????????: + \12720 = b[24:24]; + 30'b????1?????????????????????????: + \12720 = b[25:25]; + 30'b???1??????????????????????????: + \12720 = b[26:26]; + 30'b??1???????????????????????????: + \12720 = b[27:27]; + 30'b?1????????????????????????????: + \12720 = b[28:28]; + 30'b1?????????????????????????????: + \12720 = b[29:29]; + default: + \12720 = a; + endcase + endfunction + assign _0792_ = \12720 (1'h0, 30'h20000000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12721 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12721 = b[0:0]; + 30'b????????????????????????????1?: + \12721 = b[1:1]; + 30'b???????????????????????????1??: + \12721 = b[2:2]; + 30'b??????????????????????????1???: + \12721 = b[3:3]; + 30'b?????????????????????????1????: + \12721 = b[4:4]; + 30'b????????????????????????1?????: + \12721 = b[5:5]; + 30'b???????????????????????1??????: + \12721 = b[6:6]; + 30'b??????????????????????1???????: + \12721 = b[7:7]; + 30'b?????????????????????1????????: + \12721 = b[8:8]; + 30'b????????????????????1?????????: + \12721 = b[9:9]; + 30'b???????????????????1??????????: + \12721 = b[10:10]; + 30'b??????????????????1???????????: + \12721 = b[11:11]; + 30'b?????????????????1????????????: + \12721 = b[12:12]; + 30'b????????????????1?????????????: + \12721 = b[13:13]; + 30'b???????????????1??????????????: + \12721 = b[14:14]; + 30'b??????????????1???????????????: + \12721 = b[15:15]; + 30'b?????????????1????????????????: + \12721 = b[16:16]; + 30'b????????????1?????????????????: + \12721 = b[17:17]; + 30'b???????????1??????????????????: + \12721 = b[18:18]; + 30'b??????????1???????????????????: + \12721 = b[19:19]; + 30'b?????????1????????????????????: + \12721 = b[20:20]; + 30'b????????1?????????????????????: + \12721 = b[21:21]; + 30'b???????1??????????????????????: + \12721 = b[22:22]; + 30'b??????1???????????????????????: + \12721 = b[23:23]; + 30'b?????1????????????????????????: + \12721 = b[24:24]; + 30'b????1?????????????????????????: + \12721 = b[25:25]; + 30'b???1??????????????????????????: + \12721 = b[26:26]; + 30'b??1???????????????????????????: + \12721 = b[27:27]; + 30'b?1????????????????????????????: + \12721 = b[28:28]; + 30'b1?????????????????????????????: + \12721 = b[29:29]; + default: + \12721 = a; + endcase + endfunction + assign _0793_ = \12721 (1'h0, 30'h00002000, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [31:0] \12722 ; + input [31:0] a; + input [959:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12722 = b[31:0]; + 30'b????????????????????????????1?: + \12722 = b[63:32]; + 30'b???????????????????????????1??: + \12722 = b[95:64]; + 30'b??????????????????????????1???: + \12722 = b[127:96]; + 30'b?????????????????????????1????: + \12722 = b[159:128]; + 30'b????????????????????????1?????: + \12722 = b[191:160]; + 30'b???????????????????????1??????: + \12722 = b[223:192]; + 30'b??????????????????????1???????: + \12722 = b[255:224]; + 30'b?????????????????????1????????: + \12722 = b[287:256]; + 30'b????????????????????1?????????: + \12722 = b[319:288]; + 30'b???????????????????1??????????: + \12722 = b[351:320]; + 30'b??????????????????1???????????: + \12722 = b[383:352]; + 30'b?????????????????1????????????: + \12722 = b[415:384]; + 30'b????????????????1?????????????: + \12722 = b[447:416]; + 30'b???????????????1??????????????: + \12722 = b[479:448]; + 30'b??????????????1???????????????: + \12722 = b[511:480]; + 30'b?????????????1????????????????: + \12722 = b[543:512]; + 30'b????????????1?????????????????: + \12722 = b[575:544]; + 30'b???????????1??????????????????: + \12722 = b[607:576]; + 30'b??????????1???????????????????: + \12722 = b[639:608]; + 30'b?????????1????????????????????: + \12722 = b[671:640]; + 30'b????????1?????????????????????: + \12722 = b[703:672]; + 30'b???????1??????????????????????: + \12722 = b[735:704]; + 30'b??????1???????????????????????: + \12722 = b[767:736]; + 30'b?????1????????????????????????: + \12722 = b[799:768]; + 30'b????1?????????????????????????: + \12722 = b[831:800]; + 30'b???1??????????????????????????: + \12722 = b[863:832]; + 30'b??1???????????????????????????: + \12722 = b[895:864]; + 30'b?1????????????????????????????: + \12722 = b[927:896]; + 30'b1?????????????????????????????: + \12722 = b[959:928]; + default: + \12722 = a; + endcase + endfunction + assign _0794_ = \12722 (r[455:424], { r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], _0719_, r[455:424], r[455:424], r[455:424], _0534_, r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424], r[455:424] }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12736 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12736 = b[0:0]; + 30'b????????????????????????????1?: + \12736 = b[1:1]; + 30'b???????????????????????????1??: + \12736 = b[2:2]; + 30'b??????????????????????????1???: + \12736 = b[3:3]; + 30'b?????????????????????????1????: + \12736 = b[4:4]; + 30'b????????????????????????1?????: + \12736 = b[5:5]; + 30'b???????????????????????1??????: + \12736 = b[6:6]; + 30'b??????????????????????1???????: + \12736 = b[7:7]; + 30'b?????????????????????1????????: + \12736 = b[8:8]; + 30'b????????????????????1?????????: + \12736 = b[9:9]; + 30'b???????????????????1??????????: + \12736 = b[10:10]; + 30'b??????????????????1???????????: + \12736 = b[11:11]; + 30'b?????????????????1????????????: + \12736 = b[12:12]; + 30'b????????????????1?????????????: + \12736 = b[13:13]; + 30'b???????????????1??????????????: + \12736 = b[14:14]; + 30'b??????????????1???????????????: + \12736 = b[15:15]; + 30'b?????????????1????????????????: + \12736 = b[16:16]; + 30'b????????????1?????????????????: + \12736 = b[17:17]; + 30'b???????????1??????????????????: + \12736 = b[18:18]; + 30'b??????????1???????????????????: + \12736 = b[19:19]; + 30'b?????????1????????????????????: + \12736 = b[20:20]; + 30'b????????1?????????????????????: + \12736 = b[21:21]; + 30'b???????1??????????????????????: + \12736 = b[22:22]; + 30'b??????1???????????????????????: + \12736 = b[23:23]; + 30'b?????1????????????????????????: + \12736 = b[24:24]; + 30'b????1?????????????????????????: + \12736 = b[25:25]; + 30'b???1??????????????????????????: + \12736 = b[26:26]; + 30'b??1???????????????????????????: + \12736 = b[27:27]; + 30'b?1????????????????????????????: + \12736 = b[28:28]; + 30'b1?????????????????????????????: + \12736 = b[29:29]; + default: + \12736 = a; + endcase + endfunction + assign _0795_ = \12736 (1'h0, { 4'h0, _0740_, rotator_result[0], _0720_[0], 2'h0, _0619_[0], _0535_[0], ctrl[128], _0516_[0], 2'h0, _0393_[0], 2'h0, _0371_[0], _0353_[0], 1'h0, logical_result[0], 2'h0, _0226_[0], _0032_[0], 4'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [2:0] \12751 ; + input [2:0] a; + input [89:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12751 = b[2:0]; + 30'b????????????????????????????1?: + \12751 = b[5:3]; + 30'b???????????????????????????1??: + \12751 = b[8:6]; + 30'b??????????????????????????1???: + \12751 = b[11:9]; + 30'b?????????????????????????1????: + \12751 = b[14:12]; + 30'b????????????????????????1?????: + \12751 = b[17:15]; + 30'b???????????????????????1??????: + \12751 = b[20:18]; + 30'b??????????????????????1???????: + \12751 = b[23:21]; + 30'b?????????????????????1????????: + \12751 = b[26:24]; + 30'b????????????????????1?????????: + \12751 = b[29:27]; + 30'b???????????????????1??????????: + \12751 = b[32:30]; + 30'b??????????????????1???????????: + \12751 = b[35:33]; + 30'b?????????????????1????????????: + \12751 = b[38:36]; + 30'b????????????????1?????????????: + \12751 = b[41:39]; + 30'b???????????????1??????????????: + \12751 = b[44:42]; + 30'b??????????????1???????????????: + \12751 = b[47:45]; + 30'b?????????????1????????????????: + \12751 = b[50:48]; + 30'b????????????1?????????????????: + \12751 = b[53:51]; + 30'b???????????1??????????????????: + \12751 = b[56:54]; + 30'b??????????1???????????????????: + \12751 = b[59:57]; + 30'b?????????1????????????????????: + \12751 = b[62:60]; + 30'b????????1?????????????????????: + \12751 = b[65:63]; + 30'b???????1??????????????????????: + \12751 = b[68:66]; + 30'b??????1???????????????????????: + \12751 = b[71:69]; + 30'b?????1????????????????????????: + \12751 = b[74:72]; + 30'b????1?????????????????????????: + \12751 = b[77:75]; + 30'b???1??????????????????????????: + \12751 = b[80:78]; + 30'b??1???????????????????????????: + \12751 = b[83:81]; + 30'b?1????????????????????????????: + \12751 = b[86:84]; + 30'b1?????????????????????????????: + \12751 = b[89:87]; + default: + \12751 = a; + endcase + endfunction + assign _0796_ = \12751 (3'h0, { 12'h000, _0741_[2:0], rotator_result[3:1], _0720_[3:1], 6'h00, _0619_[3:1], _0535_[3:1], ctrl[131:129], _0516_[3:1], 6'h00, _0393_[3:1], 6'h00, _0371_[3:1], _0353_[3:1], 3'h0, logical_result[3:1], 6'h00, _0226_[3:1], _0032_[3:1], 12'h000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12765 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12765 = b[3:0]; + 30'b????????????????????????????1?: + \12765 = b[7:4]; + 30'b???????????????????????????1??: + \12765 = b[11:8]; + 30'b??????????????????????????1???: + \12765 = b[15:12]; + 30'b?????????????????????????1????: + \12765 = b[19:16]; + 30'b????????????????????????1?????: + \12765 = b[23:20]; + 30'b???????????????????????1??????: + \12765 = b[27:24]; + 30'b??????????????????????1???????: + \12765 = b[31:28]; + 30'b?????????????????????1????????: + \12765 = b[35:32]; + 30'b????????????????????1?????????: + \12765 = b[39:36]; + 30'b???????????????????1??????????: + \12765 = b[43:40]; + 30'b??????????????????1???????????: + \12765 = b[47:44]; + 30'b?????????????????1????????????: + \12765 = b[51:48]; + 30'b????????????????1?????????????: + \12765 = b[55:52]; + 30'b???????????????1??????????????: + \12765 = b[59:56]; + 30'b??????????????1???????????????: + \12765 = b[63:60]; + 30'b?????????????1????????????????: + \12765 = b[67:64]; + 30'b????????????1?????????????????: + \12765 = b[71:68]; + 30'b???????????1??????????????????: + \12765 = b[75:72]; + 30'b??????????1???????????????????: + \12765 = b[79:76]; + 30'b?????????1????????????????????: + \12765 = b[83:80]; + 30'b????????1?????????????????????: + \12765 = b[87:84]; + 30'b???????1??????????????????????: + \12765 = b[91:88]; + 30'b??????1???????????????????????: + \12765 = b[95:92]; + 30'b?????1????????????????????????: + \12765 = b[99:96]; + 30'b????1?????????????????????????: + \12765 = b[103:100]; + 30'b???1??????????????????????????: + \12765 = b[107:104]; + 30'b??1???????????????????????????: + \12765 = b[111:108]; + 30'b?1????????????????????????????: + \12765 = b[115:112]; + 30'b1?????????????????????????????: + \12765 = b[119:116]; + default: + \12765 = a; + endcase + endfunction + assign _0797_ = \12765 (4'h0, { 16'h0000, _0741_[6:3], rotator_result[7:4], _0720_[7:4], 8'h00, _0619_[7:4], _0535_[7:4], ctrl[135:132], _0516_[7:4], 8'h00, _0393_[7:4], 8'h00, _0371_[7:4], _0353_[7:4], 4'h0, logical_result[7:4], 8'h00, _0230_, _0032_[7:4], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12779 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12779 = b[3:0]; + 30'b????????????????????????????1?: + \12779 = b[7:4]; + 30'b???????????????????????????1??: + \12779 = b[11:8]; + 30'b??????????????????????????1???: + \12779 = b[15:12]; + 30'b?????????????????????????1????: + \12779 = b[19:16]; + 30'b????????????????????????1?????: + \12779 = b[23:20]; + 30'b???????????????????????1??????: + \12779 = b[27:24]; + 30'b??????????????????????1???????: + \12779 = b[31:28]; + 30'b?????????????????????1????????: + \12779 = b[35:32]; + 30'b????????????????????1?????????: + \12779 = b[39:36]; + 30'b???????????????????1??????????: + \12779 = b[43:40]; + 30'b??????????????????1???????????: + \12779 = b[47:44]; + 30'b?????????????????1????????????: + \12779 = b[51:48]; + 30'b????????????????1?????????????: + \12779 = b[55:52]; + 30'b???????????????1??????????????: + \12779 = b[59:56]; + 30'b??????????????1???????????????: + \12779 = b[63:60]; + 30'b?????????????1????????????????: + \12779 = b[67:64]; + 30'b????????????1?????????????????: + \12779 = b[71:68]; + 30'b???????????1??????????????????: + \12779 = b[75:72]; + 30'b??????????1???????????????????: + \12779 = b[79:76]; + 30'b?????????1????????????????????: + \12779 = b[83:80]; + 30'b????????1?????????????????????: + \12779 = b[87:84]; + 30'b???????1??????????????????????: + \12779 = b[91:88]; + 30'b??????1???????????????????????: + \12779 = b[95:92]; + 30'b?????1????????????????????????: + \12779 = b[99:96]; + 30'b????1?????????????????????????: + \12779 = b[103:100]; + 30'b???1??????????????????????????: + \12779 = b[107:104]; + 30'b??1???????????????????????????: + \12779 = b[111:108]; + 30'b?1????????????????????????????: + \12779 = b[115:112]; + 30'b1?????????????????????????????: + \12779 = b[119:116]; + default: + \12779 = a; + endcase + endfunction + assign _0798_ = \12779 (4'h0, { 16'h0000, _0741_[10:7], rotator_result[11:8], _0720_[11:8], 8'h00, _0619_[11:8], _0535_[11:8], ctrl[139:136], _0516_[11:8], 8'h00, _0393_[11:8], 8'h00, _0371_[11:8], _0353_[11:8], 4'h0, logical_result[11:8], 8'h00, _0234_, _0032_[11:8], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12793 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12793 = b[3:0]; + 30'b????????????????????????????1?: + \12793 = b[7:4]; + 30'b???????????????????????????1??: + \12793 = b[11:8]; + 30'b??????????????????????????1???: + \12793 = b[15:12]; + 30'b?????????????????????????1????: + \12793 = b[19:16]; + 30'b????????????????????????1?????: + \12793 = b[23:20]; + 30'b???????????????????????1??????: + \12793 = b[27:24]; + 30'b??????????????????????1???????: + \12793 = b[31:28]; + 30'b?????????????????????1????????: + \12793 = b[35:32]; + 30'b????????????????????1?????????: + \12793 = b[39:36]; + 30'b???????????????????1??????????: + \12793 = b[43:40]; + 30'b??????????????????1???????????: + \12793 = b[47:44]; + 30'b?????????????????1????????????: + \12793 = b[51:48]; + 30'b????????????????1?????????????: + \12793 = b[55:52]; + 30'b???????????????1??????????????: + \12793 = b[59:56]; + 30'b??????????????1???????????????: + \12793 = b[63:60]; + 30'b?????????????1????????????????: + \12793 = b[67:64]; + 30'b????????????1?????????????????: + \12793 = b[71:68]; + 30'b???????????1??????????????????: + \12793 = b[75:72]; + 30'b??????????1???????????????????: + \12793 = b[79:76]; + 30'b?????????1????????????????????: + \12793 = b[83:80]; + 30'b????????1?????????????????????: + \12793 = b[87:84]; + 30'b???????1??????????????????????: + \12793 = b[91:88]; + 30'b??????1???????????????????????: + \12793 = b[95:92]; + 30'b?????1????????????????????????: + \12793 = b[99:96]; + 30'b????1?????????????????????????: + \12793 = b[103:100]; + 30'b???1??????????????????????????: + \12793 = b[107:104]; + 30'b??1???????????????????????????: + \12793 = b[111:108]; + 30'b?1????????????????????????????: + \12793 = b[115:112]; + 30'b1?????????????????????????????: + \12793 = b[119:116]; + default: + \12793 = a; + endcase + endfunction + assign _0799_ = \12793 (4'h0, { 16'h0000, _0741_[14:11], rotator_result[15:12], _0720_[15:12], 8'h00, _0619_[15:12], _0535_[15:12], ctrl[143:140], _0516_[15:12], 8'h00, _0393_[15:12], 8'h00, _0371_[15:12], _0353_[15:12], 4'h0, logical_result[15:12], 8'h00, _0238_, _0032_[15:12], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12807 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12807 = b[3:0]; + 30'b????????????????????????????1?: + \12807 = b[7:4]; + 30'b???????????????????????????1??: + \12807 = b[11:8]; + 30'b??????????????????????????1???: + \12807 = b[15:12]; + 30'b?????????????????????????1????: + \12807 = b[19:16]; + 30'b????????????????????????1?????: + \12807 = b[23:20]; + 30'b???????????????????????1??????: + \12807 = b[27:24]; + 30'b??????????????????????1???????: + \12807 = b[31:28]; + 30'b?????????????????????1????????: + \12807 = b[35:32]; + 30'b????????????????????1?????????: + \12807 = b[39:36]; + 30'b???????????????????1??????????: + \12807 = b[43:40]; + 30'b??????????????????1???????????: + \12807 = b[47:44]; + 30'b?????????????????1????????????: + \12807 = b[51:48]; + 30'b????????????????1?????????????: + \12807 = b[55:52]; + 30'b???????????????1??????????????: + \12807 = b[59:56]; + 30'b??????????????1???????????????: + \12807 = b[63:60]; + 30'b?????????????1????????????????: + \12807 = b[67:64]; + 30'b????????????1?????????????????: + \12807 = b[71:68]; + 30'b???????????1??????????????????: + \12807 = b[75:72]; + 30'b??????????1???????????????????: + \12807 = b[79:76]; + 30'b?????????1????????????????????: + \12807 = b[83:80]; + 30'b????????1?????????????????????: + \12807 = b[87:84]; + 30'b???????1??????????????????????: + \12807 = b[91:88]; + 30'b??????1???????????????????????: + \12807 = b[95:92]; + 30'b?????1????????????????????????: + \12807 = b[99:96]; + 30'b????1?????????????????????????: + \12807 = b[103:100]; + 30'b???1??????????????????????????: + \12807 = b[107:104]; + 30'b??1???????????????????????????: + \12807 = b[111:108]; + 30'b?1????????????????????????????: + \12807 = b[115:112]; + 30'b1?????????????????????????????: + \12807 = b[119:116]; + default: + \12807 = a; + endcase + endfunction + assign _0800_ = \12807 (4'h0, { 16'h0000, _0741_[18:15], rotator_result[19:16], _0720_[19:16], 8'h00, _0619_[19:16], _0535_[19:16], ctrl[147:144], _0516_[19:16], 8'h00, _0393_[19:16], 8'h00, _0371_[19:16], _0353_[19:16], 4'h0, logical_result[19:16], 8'h00, _0242_, _0032_[19:16], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12821 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12821 = b[3:0]; + 30'b????????????????????????????1?: + \12821 = b[7:4]; + 30'b???????????????????????????1??: + \12821 = b[11:8]; + 30'b??????????????????????????1???: + \12821 = b[15:12]; + 30'b?????????????????????????1????: + \12821 = b[19:16]; + 30'b????????????????????????1?????: + \12821 = b[23:20]; + 30'b???????????????????????1??????: + \12821 = b[27:24]; + 30'b??????????????????????1???????: + \12821 = b[31:28]; + 30'b?????????????????????1????????: + \12821 = b[35:32]; + 30'b????????????????????1?????????: + \12821 = b[39:36]; + 30'b???????????????????1??????????: + \12821 = b[43:40]; + 30'b??????????????????1???????????: + \12821 = b[47:44]; + 30'b?????????????????1????????????: + \12821 = b[51:48]; + 30'b????????????????1?????????????: + \12821 = b[55:52]; + 30'b???????????????1??????????????: + \12821 = b[59:56]; + 30'b??????????????1???????????????: + \12821 = b[63:60]; + 30'b?????????????1????????????????: + \12821 = b[67:64]; + 30'b????????????1?????????????????: + \12821 = b[71:68]; + 30'b???????????1??????????????????: + \12821 = b[75:72]; + 30'b??????????1???????????????????: + \12821 = b[79:76]; + 30'b?????????1????????????????????: + \12821 = b[83:80]; + 30'b????????1?????????????????????: + \12821 = b[87:84]; + 30'b???????1??????????????????????: + \12821 = b[91:88]; + 30'b??????1???????????????????????: + \12821 = b[95:92]; + 30'b?????1????????????????????????: + \12821 = b[99:96]; + 30'b????1?????????????????????????: + \12821 = b[103:100]; + 30'b???1??????????????????????????: + \12821 = b[107:104]; + 30'b??1???????????????????????????: + \12821 = b[111:108]; + 30'b?1????????????????????????????: + \12821 = b[115:112]; + 30'b1?????????????????????????????: + \12821 = b[119:116]; + default: + \12821 = a; + endcase + endfunction + assign _0801_ = \12821 (4'h0, { 16'h0000, _0741_[22:19], rotator_result[23:20], _0720_[23:20], 8'h00, _0619_[23:20], _0535_[23:20], ctrl[151:148], _0516_[23:20], 8'h00, _0393_[23:20], 8'h00, _0371_[23:20], _0353_[23:20], 4'h0, logical_result[23:20], 8'h00, _0246_, _0032_[23:20], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12835 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12835 = b[3:0]; + 30'b????????????????????????????1?: + \12835 = b[7:4]; + 30'b???????????????????????????1??: + \12835 = b[11:8]; + 30'b??????????????????????????1???: + \12835 = b[15:12]; + 30'b?????????????????????????1????: + \12835 = b[19:16]; + 30'b????????????????????????1?????: + \12835 = b[23:20]; + 30'b???????????????????????1??????: + \12835 = b[27:24]; + 30'b??????????????????????1???????: + \12835 = b[31:28]; + 30'b?????????????????????1????????: + \12835 = b[35:32]; + 30'b????????????????????1?????????: + \12835 = b[39:36]; + 30'b???????????????????1??????????: + \12835 = b[43:40]; + 30'b??????????????????1???????????: + \12835 = b[47:44]; + 30'b?????????????????1????????????: + \12835 = b[51:48]; + 30'b????????????????1?????????????: + \12835 = b[55:52]; + 30'b???????????????1??????????????: + \12835 = b[59:56]; + 30'b??????????????1???????????????: + \12835 = b[63:60]; + 30'b?????????????1????????????????: + \12835 = b[67:64]; + 30'b????????????1?????????????????: + \12835 = b[71:68]; + 30'b???????????1??????????????????: + \12835 = b[75:72]; + 30'b??????????1???????????????????: + \12835 = b[79:76]; + 30'b?????????1????????????????????: + \12835 = b[83:80]; + 30'b????????1?????????????????????: + \12835 = b[87:84]; + 30'b???????1??????????????????????: + \12835 = b[91:88]; + 30'b??????1???????????????????????: + \12835 = b[95:92]; + 30'b?????1????????????????????????: + \12835 = b[99:96]; + 30'b????1?????????????????????????: + \12835 = b[103:100]; + 30'b???1??????????????????????????: + \12835 = b[107:104]; + 30'b??1???????????????????????????: + \12835 = b[111:108]; + 30'b?1????????????????????????????: + \12835 = b[115:112]; + 30'b1?????????????????????????????: + \12835 = b[119:116]; + default: + \12835 = a; + endcase + endfunction + assign _0802_ = \12835 (4'h0, { 16'h0000, _0741_[26:23], rotator_result[27:24], _0720_[27:24], 8'h00, _0619_[27:24], _0535_[27:24], ctrl[155:152], _0516_[27:24], 8'h00, _0393_[27:24], 8'h00, _0371_[27:24], _0353_[27:24], 4'h0, logical_result[27:24], 8'h00, _0250_, _0032_[27:24], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12849 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12849 = b[3:0]; + 30'b????????????????????????????1?: + \12849 = b[7:4]; + 30'b???????????????????????????1??: + \12849 = b[11:8]; + 30'b??????????????????????????1???: + \12849 = b[15:12]; + 30'b?????????????????????????1????: + \12849 = b[19:16]; + 30'b????????????????????????1?????: + \12849 = b[23:20]; + 30'b???????????????????????1??????: + \12849 = b[27:24]; + 30'b??????????????????????1???????: + \12849 = b[31:28]; + 30'b?????????????????????1????????: + \12849 = b[35:32]; + 30'b????????????????????1?????????: + \12849 = b[39:36]; + 30'b???????????????????1??????????: + \12849 = b[43:40]; + 30'b??????????????????1???????????: + \12849 = b[47:44]; + 30'b?????????????????1????????????: + \12849 = b[51:48]; + 30'b????????????????1?????????????: + \12849 = b[55:52]; + 30'b???????????????1??????????????: + \12849 = b[59:56]; + 30'b??????????????1???????????????: + \12849 = b[63:60]; + 30'b?????????????1????????????????: + \12849 = b[67:64]; + 30'b????????????1?????????????????: + \12849 = b[71:68]; + 30'b???????????1??????????????????: + \12849 = b[75:72]; + 30'b??????????1???????????????????: + \12849 = b[79:76]; + 30'b?????????1????????????????????: + \12849 = b[83:80]; + 30'b????????1?????????????????????: + \12849 = b[87:84]; + 30'b???????1??????????????????????: + \12849 = b[91:88]; + 30'b??????1???????????????????????: + \12849 = b[95:92]; + 30'b?????1????????????????????????: + \12849 = b[99:96]; + 30'b????1?????????????????????????: + \12849 = b[103:100]; + 30'b???1??????????????????????????: + \12849 = b[107:104]; + 30'b??1???????????????????????????: + \12849 = b[111:108]; + 30'b?1????????????????????????????: + \12849 = b[115:112]; + 30'b1?????????????????????????????: + \12849 = b[119:116]; + default: + \12849 = a; + endcase + endfunction + assign _0803_ = \12849 (4'h0, { 16'h0000, _0741_[30:27], rotator_result[31:28], _0720_[31:28], 8'h00, _0619_[31:28], _0535_[31:28], ctrl[159:156], _0516_[31:28], 8'h00, _0393_[31:28], 8'h00, _0371_[31:28], _0353_[31:28], 4'h0, logical_result[31:28], 8'h00, _0254_, _0032_[31:28], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12863 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12863 = b[3:0]; + 30'b????????????????????????????1?: + \12863 = b[7:4]; + 30'b???????????????????????????1??: + \12863 = b[11:8]; + 30'b??????????????????????????1???: + \12863 = b[15:12]; + 30'b?????????????????????????1????: + \12863 = b[19:16]; + 30'b????????????????????????1?????: + \12863 = b[23:20]; + 30'b???????????????????????1??????: + \12863 = b[27:24]; + 30'b??????????????????????1???????: + \12863 = b[31:28]; + 30'b?????????????????????1????????: + \12863 = b[35:32]; + 30'b????????????????????1?????????: + \12863 = b[39:36]; + 30'b???????????????????1??????????: + \12863 = b[43:40]; + 30'b??????????????????1???????????: + \12863 = b[47:44]; + 30'b?????????????????1????????????: + \12863 = b[51:48]; + 30'b????????????????1?????????????: + \12863 = b[55:52]; + 30'b???????????????1??????????????: + \12863 = b[59:56]; + 30'b??????????????1???????????????: + \12863 = b[63:60]; + 30'b?????????????1????????????????: + \12863 = b[67:64]; + 30'b????????????1?????????????????: + \12863 = b[71:68]; + 30'b???????????1??????????????????: + \12863 = b[75:72]; + 30'b??????????1???????????????????: + \12863 = b[79:76]; + 30'b?????????1????????????????????: + \12863 = b[83:80]; + 30'b????????1?????????????????????: + \12863 = b[87:84]; + 30'b???????1??????????????????????: + \12863 = b[91:88]; + 30'b??????1???????????????????????: + \12863 = b[95:92]; + 30'b?????1????????????????????????: + \12863 = b[99:96]; + 30'b????1?????????????????????????: + \12863 = b[103:100]; + 30'b???1??????????????????????????: + \12863 = b[107:104]; + 30'b??1???????????????????????????: + \12863 = b[111:108]; + 30'b?1????????????????????????????: + \12863 = b[115:112]; + 30'b1?????????????????????????????: + \12863 = b[119:116]; + default: + \12863 = a; + endcase + endfunction + assign _0804_ = \12863 (4'h0, { 16'h0000, _0741_[34:31], rotator_result[35:32], _0720_[35:32], 8'h00, _0619_[35:32], _0535_[35:32], ctrl[163:160], _0516_[35:32], 8'h00, _0393_[35:32], 8'h00, _0371_[35:32], _0353_[35:32], 4'h0, logical_result[35:32], 8'h00, _0258_, _0032_[35:32], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12877 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12877 = b[3:0]; + 30'b????????????????????????????1?: + \12877 = b[7:4]; + 30'b???????????????????????????1??: + \12877 = b[11:8]; + 30'b??????????????????????????1???: + \12877 = b[15:12]; + 30'b?????????????????????????1????: + \12877 = b[19:16]; + 30'b????????????????????????1?????: + \12877 = b[23:20]; + 30'b???????????????????????1??????: + \12877 = b[27:24]; + 30'b??????????????????????1???????: + \12877 = b[31:28]; + 30'b?????????????????????1????????: + \12877 = b[35:32]; + 30'b????????????????????1?????????: + \12877 = b[39:36]; + 30'b???????????????????1??????????: + \12877 = b[43:40]; + 30'b??????????????????1???????????: + \12877 = b[47:44]; + 30'b?????????????????1????????????: + \12877 = b[51:48]; + 30'b????????????????1?????????????: + \12877 = b[55:52]; + 30'b???????????????1??????????????: + \12877 = b[59:56]; + 30'b??????????????1???????????????: + \12877 = b[63:60]; + 30'b?????????????1????????????????: + \12877 = b[67:64]; + 30'b????????????1?????????????????: + \12877 = b[71:68]; + 30'b???????????1??????????????????: + \12877 = b[75:72]; + 30'b??????????1???????????????????: + \12877 = b[79:76]; + 30'b?????????1????????????????????: + \12877 = b[83:80]; + 30'b????????1?????????????????????: + \12877 = b[87:84]; + 30'b???????1??????????????????????: + \12877 = b[91:88]; + 30'b??????1???????????????????????: + \12877 = b[95:92]; + 30'b?????1????????????????????????: + \12877 = b[99:96]; + 30'b????1?????????????????????????: + \12877 = b[103:100]; + 30'b???1??????????????????????????: + \12877 = b[107:104]; + 30'b??1???????????????????????????: + \12877 = b[111:108]; + 30'b?1????????????????????????????: + \12877 = b[115:112]; + 30'b1?????????????????????????????: + \12877 = b[119:116]; + default: + \12877 = a; + endcase + endfunction + assign _0805_ = \12877 (4'h0, { 16'h0000, _0741_[38:35], rotator_result[39:36], _0720_[39:36], 8'h00, _0619_[39:36], _0535_[39:36], ctrl[167:164], _0516_[39:36], 8'h00, _0393_[39:36], 8'h00, _0371_[39:36], _0353_[39:36], 4'h0, logical_result[39:36], 8'h00, _0262_, _0032_[39:36], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12891 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12891 = b[3:0]; + 30'b????????????????????????????1?: + \12891 = b[7:4]; + 30'b???????????????????????????1??: + \12891 = b[11:8]; + 30'b??????????????????????????1???: + \12891 = b[15:12]; + 30'b?????????????????????????1????: + \12891 = b[19:16]; + 30'b????????????????????????1?????: + \12891 = b[23:20]; + 30'b???????????????????????1??????: + \12891 = b[27:24]; + 30'b??????????????????????1???????: + \12891 = b[31:28]; + 30'b?????????????????????1????????: + \12891 = b[35:32]; + 30'b????????????????????1?????????: + \12891 = b[39:36]; + 30'b???????????????????1??????????: + \12891 = b[43:40]; + 30'b??????????????????1???????????: + \12891 = b[47:44]; + 30'b?????????????????1????????????: + \12891 = b[51:48]; + 30'b????????????????1?????????????: + \12891 = b[55:52]; + 30'b???????????????1??????????????: + \12891 = b[59:56]; + 30'b??????????????1???????????????: + \12891 = b[63:60]; + 30'b?????????????1????????????????: + \12891 = b[67:64]; + 30'b????????????1?????????????????: + \12891 = b[71:68]; + 30'b???????????1??????????????????: + \12891 = b[75:72]; + 30'b??????????1???????????????????: + \12891 = b[79:76]; + 30'b?????????1????????????????????: + \12891 = b[83:80]; + 30'b????????1?????????????????????: + \12891 = b[87:84]; + 30'b???????1??????????????????????: + \12891 = b[91:88]; + 30'b??????1???????????????????????: + \12891 = b[95:92]; + 30'b?????1????????????????????????: + \12891 = b[99:96]; + 30'b????1?????????????????????????: + \12891 = b[103:100]; + 30'b???1??????????????????????????: + \12891 = b[107:104]; + 30'b??1???????????????????????????: + \12891 = b[111:108]; + 30'b?1????????????????????????????: + \12891 = b[115:112]; + 30'b1?????????????????????????????: + \12891 = b[119:116]; + default: + \12891 = a; + endcase + endfunction + assign _0806_ = \12891 (4'h0, { 16'h0000, _0741_[42:39], rotator_result[43:40], _0720_[43:40], 8'h00, _0619_[43:40], _0535_[43:40], ctrl[171:168], _0516_[43:40], 8'h00, _0393_[43:40], 8'h00, _0371_[43:40], _0353_[43:40], 4'h0, logical_result[43:40], 8'h00, _0266_, _0032_[43:40], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12905 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12905 = b[3:0]; + 30'b????????????????????????????1?: + \12905 = b[7:4]; + 30'b???????????????????????????1??: + \12905 = b[11:8]; + 30'b??????????????????????????1???: + \12905 = b[15:12]; + 30'b?????????????????????????1????: + \12905 = b[19:16]; + 30'b????????????????????????1?????: + \12905 = b[23:20]; + 30'b???????????????????????1??????: + \12905 = b[27:24]; + 30'b??????????????????????1???????: + \12905 = b[31:28]; + 30'b?????????????????????1????????: + \12905 = b[35:32]; + 30'b????????????????????1?????????: + \12905 = b[39:36]; + 30'b???????????????????1??????????: + \12905 = b[43:40]; + 30'b??????????????????1???????????: + \12905 = b[47:44]; + 30'b?????????????????1????????????: + \12905 = b[51:48]; + 30'b????????????????1?????????????: + \12905 = b[55:52]; + 30'b???????????????1??????????????: + \12905 = b[59:56]; + 30'b??????????????1???????????????: + \12905 = b[63:60]; + 30'b?????????????1????????????????: + \12905 = b[67:64]; + 30'b????????????1?????????????????: + \12905 = b[71:68]; + 30'b???????????1??????????????????: + \12905 = b[75:72]; + 30'b??????????1???????????????????: + \12905 = b[79:76]; + 30'b?????????1????????????????????: + \12905 = b[83:80]; + 30'b????????1?????????????????????: + \12905 = b[87:84]; + 30'b???????1??????????????????????: + \12905 = b[91:88]; + 30'b??????1???????????????????????: + \12905 = b[95:92]; + 30'b?????1????????????????????????: + \12905 = b[99:96]; + 30'b????1?????????????????????????: + \12905 = b[103:100]; + 30'b???1??????????????????????????: + \12905 = b[107:104]; + 30'b??1???????????????????????????: + \12905 = b[111:108]; + 30'b?1????????????????????????????: + \12905 = b[115:112]; + 30'b1?????????????????????????????: + \12905 = b[119:116]; + default: + \12905 = a; + endcase + endfunction + assign _0807_ = \12905 (4'h0, { 16'h0000, _0741_[46:43], rotator_result[47:44], _0720_[47:44], 8'h00, _0619_[47:44], _0535_[47:44], ctrl[175:172], _0516_[47:44], 8'h00, _0393_[47:44], 8'h00, _0371_[47:44], _0353_[47:44], 4'h0, logical_result[47:44], 8'h00, _0270_, _0032_[47:44], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12919 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12919 = b[3:0]; + 30'b????????????????????????????1?: + \12919 = b[7:4]; + 30'b???????????????????????????1??: + \12919 = b[11:8]; + 30'b??????????????????????????1???: + \12919 = b[15:12]; + 30'b?????????????????????????1????: + \12919 = b[19:16]; + 30'b????????????????????????1?????: + \12919 = b[23:20]; + 30'b???????????????????????1??????: + \12919 = b[27:24]; + 30'b??????????????????????1???????: + \12919 = b[31:28]; + 30'b?????????????????????1????????: + \12919 = b[35:32]; + 30'b????????????????????1?????????: + \12919 = b[39:36]; + 30'b???????????????????1??????????: + \12919 = b[43:40]; + 30'b??????????????????1???????????: + \12919 = b[47:44]; + 30'b?????????????????1????????????: + \12919 = b[51:48]; + 30'b????????????????1?????????????: + \12919 = b[55:52]; + 30'b???????????????1??????????????: + \12919 = b[59:56]; + 30'b??????????????1???????????????: + \12919 = b[63:60]; + 30'b?????????????1????????????????: + \12919 = b[67:64]; + 30'b????????????1?????????????????: + \12919 = b[71:68]; + 30'b???????????1??????????????????: + \12919 = b[75:72]; + 30'b??????????1???????????????????: + \12919 = b[79:76]; + 30'b?????????1????????????????????: + \12919 = b[83:80]; + 30'b????????1?????????????????????: + \12919 = b[87:84]; + 30'b???????1??????????????????????: + \12919 = b[91:88]; + 30'b??????1???????????????????????: + \12919 = b[95:92]; + 30'b?????1????????????????????????: + \12919 = b[99:96]; + 30'b????1?????????????????????????: + \12919 = b[103:100]; + 30'b???1??????????????????????????: + \12919 = b[107:104]; + 30'b??1???????????????????????????: + \12919 = b[111:108]; + 30'b?1????????????????????????????: + \12919 = b[115:112]; + 30'b1?????????????????????????????: + \12919 = b[119:116]; + default: + \12919 = a; + endcase + endfunction + assign _0808_ = \12919 (4'h0, { 16'h0000, _0741_[50:47], rotator_result[51:48], _0720_[51:48], 8'h00, _0619_[51:48], _0535_[51:48], ctrl[179:176], _0516_[51:48], 8'h00, _0393_[51:48], 8'h00, _0371_[51:48], _0353_[51:48], 4'h0, logical_result[51:48], 8'h00, _0274_, _0032_[51:48], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12933 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12933 = b[3:0]; + 30'b????????????????????????????1?: + \12933 = b[7:4]; + 30'b???????????????????????????1??: + \12933 = b[11:8]; + 30'b??????????????????????????1???: + \12933 = b[15:12]; + 30'b?????????????????????????1????: + \12933 = b[19:16]; + 30'b????????????????????????1?????: + \12933 = b[23:20]; + 30'b???????????????????????1??????: + \12933 = b[27:24]; + 30'b??????????????????????1???????: + \12933 = b[31:28]; + 30'b?????????????????????1????????: + \12933 = b[35:32]; + 30'b????????????????????1?????????: + \12933 = b[39:36]; + 30'b???????????????????1??????????: + \12933 = b[43:40]; + 30'b??????????????????1???????????: + \12933 = b[47:44]; + 30'b?????????????????1????????????: + \12933 = b[51:48]; + 30'b????????????????1?????????????: + \12933 = b[55:52]; + 30'b???????????????1??????????????: + \12933 = b[59:56]; + 30'b??????????????1???????????????: + \12933 = b[63:60]; + 30'b?????????????1????????????????: + \12933 = b[67:64]; + 30'b????????????1?????????????????: + \12933 = b[71:68]; + 30'b???????????1??????????????????: + \12933 = b[75:72]; + 30'b??????????1???????????????????: + \12933 = b[79:76]; + 30'b?????????1????????????????????: + \12933 = b[83:80]; + 30'b????????1?????????????????????: + \12933 = b[87:84]; + 30'b???????1??????????????????????: + \12933 = b[91:88]; + 30'b??????1???????????????????????: + \12933 = b[95:92]; + 30'b?????1????????????????????????: + \12933 = b[99:96]; + 30'b????1?????????????????????????: + \12933 = b[103:100]; + 30'b???1??????????????????????????: + \12933 = b[107:104]; + 30'b??1???????????????????????????: + \12933 = b[111:108]; + 30'b?1????????????????????????????: + \12933 = b[115:112]; + 30'b1?????????????????????????????: + \12933 = b[119:116]; + default: + \12933 = a; + endcase + endfunction + assign _0809_ = \12933 (4'h0, { 16'h0000, _0741_[54:51], rotator_result[55:52], _0720_[55:52], 8'h00, _0619_[55:52], _0535_[55:52], ctrl[183:180], _0516_[55:52], 8'h00, _0393_[55:52], 8'h00, _0371_[55:52], _0353_[55:52], 4'h0, logical_result[55:52], 8'h00, _0278_, _0032_[55:52], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12947 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12947 = b[3:0]; + 30'b????????????????????????????1?: + \12947 = b[7:4]; + 30'b???????????????????????????1??: + \12947 = b[11:8]; + 30'b??????????????????????????1???: + \12947 = b[15:12]; + 30'b?????????????????????????1????: + \12947 = b[19:16]; + 30'b????????????????????????1?????: + \12947 = b[23:20]; + 30'b???????????????????????1??????: + \12947 = b[27:24]; + 30'b??????????????????????1???????: + \12947 = b[31:28]; + 30'b?????????????????????1????????: + \12947 = b[35:32]; + 30'b????????????????????1?????????: + \12947 = b[39:36]; + 30'b???????????????????1??????????: + \12947 = b[43:40]; + 30'b??????????????????1???????????: + \12947 = b[47:44]; + 30'b?????????????????1????????????: + \12947 = b[51:48]; + 30'b????????????????1?????????????: + \12947 = b[55:52]; + 30'b???????????????1??????????????: + \12947 = b[59:56]; + 30'b??????????????1???????????????: + \12947 = b[63:60]; + 30'b?????????????1????????????????: + \12947 = b[67:64]; + 30'b????????????1?????????????????: + \12947 = b[71:68]; + 30'b???????????1??????????????????: + \12947 = b[75:72]; + 30'b??????????1???????????????????: + \12947 = b[79:76]; + 30'b?????????1????????????????????: + \12947 = b[83:80]; + 30'b????????1?????????????????????: + \12947 = b[87:84]; + 30'b???????1??????????????????????: + \12947 = b[91:88]; + 30'b??????1???????????????????????: + \12947 = b[95:92]; + 30'b?????1????????????????????????: + \12947 = b[99:96]; + 30'b????1?????????????????????????: + \12947 = b[103:100]; + 30'b???1??????????????????????????: + \12947 = b[107:104]; + 30'b??1???????????????????????????: + \12947 = b[111:108]; + 30'b?1????????????????????????????: + \12947 = b[115:112]; + 30'b1?????????????????????????????: + \12947 = b[119:116]; + default: + \12947 = a; + endcase + endfunction + assign _0810_ = \12947 (4'h0, { 16'h0000, _0741_[58:55], rotator_result[59:56], _0720_[59:56], 8'h00, _0619_[59:56], _0535_[59:56], ctrl[187:184], _0516_[59:56], 8'h00, _0393_[59:56], 8'h00, _0371_[59:56], _0353_[59:56], 4'h0, logical_result[59:56], 8'h00, _0282_, _0032_[59:56], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [3:0] \12961 ; + input [3:0] a; + input [119:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12961 = b[3:0]; + 30'b????????????????????????????1?: + \12961 = b[7:4]; + 30'b???????????????????????????1??: + \12961 = b[11:8]; + 30'b??????????????????????????1???: + \12961 = b[15:12]; + 30'b?????????????????????????1????: + \12961 = b[19:16]; + 30'b????????????????????????1?????: + \12961 = b[23:20]; + 30'b???????????????????????1??????: + \12961 = b[27:24]; + 30'b??????????????????????1???????: + \12961 = b[31:28]; + 30'b?????????????????????1????????: + \12961 = b[35:32]; + 30'b????????????????????1?????????: + \12961 = b[39:36]; + 30'b???????????????????1??????????: + \12961 = b[43:40]; + 30'b??????????????????1???????????: + \12961 = b[47:44]; + 30'b?????????????????1????????????: + \12961 = b[51:48]; + 30'b????????????????1?????????????: + \12961 = b[55:52]; + 30'b???????????????1??????????????: + \12961 = b[59:56]; + 30'b??????????????1???????????????: + \12961 = b[63:60]; + 30'b?????????????1????????????????: + \12961 = b[67:64]; + 30'b????????????1?????????????????: + \12961 = b[71:68]; + 30'b???????????1??????????????????: + \12961 = b[75:72]; + 30'b??????????1???????????????????: + \12961 = b[79:76]; + 30'b?????????1????????????????????: + \12961 = b[83:80]; + 30'b????????1?????????????????????: + \12961 = b[87:84]; + 30'b???????1??????????????????????: + \12961 = b[91:88]; + 30'b??????1???????????????????????: + \12961 = b[95:92]; + 30'b?????1????????????????????????: + \12961 = b[99:96]; + 30'b????1?????????????????????????: + \12961 = b[103:100]; + 30'b???1??????????????????????????: + \12961 = b[107:104]; + 30'b??1???????????????????????????: + \12961 = b[111:108]; + 30'b?1????????????????????????????: + \12961 = b[115:112]; + 30'b1?????????????????????????????: + \12961 = b[119:116]; + default: + \12961 = a; + endcase + endfunction + assign _0811_ = \12961 (4'h0, { 16'h0000, _0741_[62:59], rotator_result[63:60], _0720_[63:60], 8'h00, _0619_[63:60], _0535_[63:60], ctrl[191:188], _0516_[63:60], 8'h00, _0393_[63:60], 8'h00, _0371_[63:60], _0353_[63:60], 4'h0, logical_result[63:60], 8'h00, _0284_, _0032_[63:60], 16'h0000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \12973 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \12973 = b[0:0]; + 30'b????????????????????????????1?: + \12973 = b[1:1]; + 30'b???????????????????????????1??: + \12973 = b[2:2]; + 30'b??????????????????????????1???: + \12973 = b[3:3]; + 30'b?????????????????????????1????: + \12973 = b[4:4]; + 30'b????????????????????????1?????: + \12973 = b[5:5]; + 30'b???????????????????????1??????: + \12973 = b[6:6]; + 30'b??????????????????????1???????: + \12973 = b[7:7]; + 30'b?????????????????????1????????: + \12973 = b[8:8]; + 30'b????????????????????1?????????: + \12973 = b[9:9]; + 30'b???????????????????1??????????: + \12973 = b[10:10]; + 30'b??????????????????1???????????: + \12973 = b[11:11]; + 30'b?????????????????1????????????: + \12973 = b[12:12]; + 30'b????????????????1?????????????: + \12973 = b[13:13]; + 30'b???????????????1??????????????: + \12973 = b[14:14]; + 30'b??????????????1???????????????: + \12973 = b[15:15]; + 30'b?????????????1????????????????: + \12973 = b[16:16]; + 30'b????????????1?????????????????: + \12973 = b[17:17]; + 30'b???????????1??????????????????: + \12973 = b[18:18]; + 30'b??????????1???????????????????: + \12973 = b[19:19]; + 30'b?????????1????????????????????: + \12973 = b[20:20]; + 30'b????????1?????????????????????: + \12973 = b[21:21]; + 30'b???????1??????????????????????: + \12973 = b[22:22]; + 30'b??????1???????????????????????: + \12973 = b[23:23]; + 30'b?????1????????????????????????: + \12973 = b[24:24]; + 30'b????1?????????????????????????: + \12973 = b[25:25]; + 30'b???1??????????????????????????: + \12973 = b[26:26]; + 30'b??1???????????????????????????: + \12973 = b[27:27]; + 30'b?1????????????????????????????: + \12973 = b[28:28]; + 30'b1?????????????????????????????: + \12973 = b[29:29]; + default: + \12973 = a; + endcase + endfunction + assign _0812_ = \12973 (1'h0, { 6'h01, _0721_, 11'h1e4, _0372_, _0354_, 5'h09, _0216_, 4'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13003 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13003 = b[0:0]; + 30'b????????????????????????????1?: + \13003 = b[1:1]; + 30'b???????????????????????????1??: + \13003 = b[2:2]; + 30'b??????????????????????????1???: + \13003 = b[3:3]; + 30'b?????????????????????????1????: + \13003 = b[4:4]; + 30'b????????????????????????1?????: + \13003 = b[5:5]; + 30'b???????????????????????1??????: + \13003 = b[6:6]; + 30'b??????????????????????1???????: + \13003 = b[7:7]; + 30'b?????????????????????1????????: + \13003 = b[8:8]; + 30'b????????????????????1?????????: + \13003 = b[9:9]; + 30'b???????????????????1??????????: + \13003 = b[10:10]; + 30'b??????????????????1???????????: + \13003 = b[11:11]; + 30'b?????????????????1????????????: + \13003 = b[12:12]; + 30'b????????????????1?????????????: + \13003 = b[13:13]; + 30'b???????????????1??????????????: + \13003 = b[14:14]; + 30'b??????????????1???????????????: + \13003 = b[15:15]; + 30'b?????????????1????????????????: + \13003 = b[16:16]; + 30'b????????????1?????????????????: + \13003 = b[17:17]; + 30'b???????????1??????????????????: + \13003 = b[18:18]; + 30'b??????????1???????????????????: + \13003 = b[19:19]; + 30'b?????????1????????????????????: + \13003 = b[20:20]; + 30'b????????1?????????????????????: + \13003 = b[21:21]; + 30'b???????1??????????????????????: + \13003 = b[22:22]; + 30'b??????1???????????????????????: + \13003 = b[23:23]; + 30'b?????1????????????????????????: + \13003 = b[24:24]; + 30'b????1?????????????????????????: + \13003 = b[25:25]; + 30'b???1??????????????????????????: + \13003 = b[26:26]; + 30'b??1???????????????????????????: + \13003 = b[27:27]; + 30'b?1????????????????????????????: + \13003 = b[28:28]; + 30'b1?????????????????????????????: + \13003 = b[29:29]; + default: + \13003 = a; + endcase + endfunction + assign _0813_ = \13003 (1'h0, { 25'h0000000, _0217_, 2'h0, _0129_, 1'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13005 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13005 = b[0:0]; + 30'b????????????????????????????1?: + \13005 = b[1:1]; + 30'b???????????????????????????1??: + \13005 = b[2:2]; + 30'b??????????????????????????1???: + \13005 = b[3:3]; + 30'b?????????????????????????1????: + \13005 = b[4:4]; + 30'b????????????????????????1?????: + \13005 = b[5:5]; + 30'b???????????????????????1??????: + \13005 = b[6:6]; + 30'b??????????????????????1???????: + \13005 = b[7:7]; + 30'b?????????????????????1????????: + \13005 = b[8:8]; + 30'b????????????????????1?????????: + \13005 = b[9:9]; + 30'b???????????????????1??????????: + \13005 = b[10:10]; + 30'b??????????????????1???????????: + \13005 = b[11:11]; + 30'b?????????????????1????????????: + \13005 = b[12:12]; + 30'b????????????????1?????????????: + \13005 = b[13:13]; + 30'b???????????????1??????????????: + \13005 = b[14:14]; + 30'b??????????????1???????????????: + \13005 = b[15:15]; + 30'b?????????????1????????????????: + \13005 = b[16:16]; + 30'b????????????1?????????????????: + \13005 = b[17:17]; + 30'b???????????1??????????????????: + \13005 = b[18:18]; + 30'b??????????1???????????????????: + \13005 = b[19:19]; + 30'b?????????1????????????????????: + \13005 = b[20:20]; + 30'b????????1?????????????????????: + \13005 = b[21:21]; + 30'b???????1??????????????????????: + \13005 = b[22:22]; + 30'b??????1???????????????????????: + \13005 = b[23:23]; + 30'b?????1????????????????????????: + \13005 = b[24:24]; + 30'b????1?????????????????????????: + \13005 = b[25:25]; + 30'b???1??????????????????????????: + \13005 = b[26:26]; + 30'b??1???????????????????????????: + \13005 = b[27:27]; + 30'b?1????????????????????????????: + \13005 = b[28:28]; + 30'b1?????????????????????????????: + \13005 = b[29:29]; + default: + \13005 = a; + endcase + endfunction + assign _0814_ = \13005 (1'h0, { 28'h0000000, _0130_, 1'h0 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13009 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13009 = b[0:0]; + 30'b????????????????????????????1?: + \13009 = b[1:1]; + 30'b???????????????????????????1??: + \13009 = b[2:2]; + 30'b??????????????????????????1???: + \13009 = b[3:3]; + 30'b?????????????????????????1????: + \13009 = b[4:4]; + 30'b????????????????????????1?????: + \13009 = b[5:5]; + 30'b???????????????????????1??????: + \13009 = b[6:6]; + 30'b??????????????????????1???????: + \13009 = b[7:7]; + 30'b?????????????????????1????????: + \13009 = b[8:8]; + 30'b????????????????????1?????????: + \13009 = b[9:9]; + 30'b???????????????????1??????????: + \13009 = b[10:10]; + 30'b??????????????????1???????????: + \13009 = b[11:11]; + 30'b?????????????????1????????????: + \13009 = b[12:12]; + 30'b????????????????1?????????????: + \13009 = b[13:13]; + 30'b???????????????1??????????????: + \13009 = b[14:14]; + 30'b??????????????1???????????????: + \13009 = b[15:15]; + 30'b?????????????1????????????????: + \13009 = b[16:16]; + 30'b????????????1?????????????????: + \13009 = b[17:17]; + 30'b???????????1??????????????????: + \13009 = b[18:18]; + 30'b??????????1???????????????????: + \13009 = b[19:19]; + 30'b?????????1????????????????????: + \13009 = b[20:20]; + 30'b????????1?????????????????????: + \13009 = b[21:21]; + 30'b???????1??????????????????????: + \13009 = b[22:22]; + 30'b??????1???????????????????????: + \13009 = b[23:23]; + 30'b?????1????????????????????????: + \13009 = b[24:24]; + 30'b????1?????????????????????????: + \13009 = b[25:25]; + 30'b???1??????????????????????????: + \13009 = b[26:26]; + 30'b??1???????????????????????????: + \13009 = b[27:27]; + 30'b?1????????????????????????????: + \13009 = b[28:28]; + 30'b1?????????????????????????????: + \13009 = b[29:29]; + default: + \13009 = a; + endcase + endfunction + assign _0815_ = \13009 (1'h0, { 6'h00, _0722_, 3'h0, _0536_, 16'h0000, _0135_, _0131_, 1'h1 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13015 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13015 = b[0:0]; + 30'b????????????????????????????1?: + \13015 = b[1:1]; + 30'b???????????????????????????1??: + \13015 = b[2:2]; + 30'b??????????????????????????1???: + \13015 = b[3:3]; + 30'b?????????????????????????1????: + \13015 = b[4:4]; + 30'b????????????????????????1?????: + \13015 = b[5:5]; + 30'b???????????????????????1??????: + \13015 = b[6:6]; + 30'b??????????????????????1???????: + \13015 = b[7:7]; + 30'b?????????????????????1????????: + \13015 = b[8:8]; + 30'b????????????????????1?????????: + \13015 = b[9:9]; + 30'b???????????????????1??????????: + \13015 = b[10:10]; + 30'b??????????????????1???????????: + \13015 = b[11:11]; + 30'b?????????????????1????????????: + \13015 = b[12:12]; + 30'b????????????????1?????????????: + \13015 = b[13:13]; + 30'b???????????????1??????????????: + \13015 = b[14:14]; + 30'b??????????????1???????????????: + \13015 = b[15:15]; + 30'b?????????????1????????????????: + \13015 = b[16:16]; + 30'b????????????1?????????????????: + \13015 = b[17:17]; + 30'b???????????1??????????????????: + \13015 = b[18:18]; + 30'b??????????1???????????????????: + \13015 = b[19:19]; + 30'b?????????1????????????????????: + \13015 = b[20:20]; + 30'b????????1?????????????????????: + \13015 = b[21:21]; + 30'b???????1??????????????????????: + \13015 = b[22:22]; + 30'b??????1???????????????????????: + \13015 = b[23:23]; + 30'b?????1????????????????????????: + \13015 = b[24:24]; + 30'b????1?????????????????????????: + \13015 = b[25:25]; + 30'b???1??????????????????????????: + \13015 = b[26:26]; + 30'b??1???????????????????????????: + \13015 = b[27:27]; + 30'b?1????????????????????????????: + \13015 = b[28:28]; + 30'b1?????????????????????????????: + \13015 = b[29:29]; + default: + \13015 = a; + endcase + endfunction + assign _0816_ = \13015 (1'h0, 30'h00001e00, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13019 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13019 = b[0:0]; + 30'b????????????????????????????1?: + \13019 = b[1:1]; + 30'b???????????????????????????1??: + \13019 = b[2:2]; + 30'b??????????????????????????1???: + \13019 = b[3:3]; + 30'b?????????????????????????1????: + \13019 = b[4:4]; + 30'b????????????????????????1?????: + \13019 = b[5:5]; + 30'b???????????????????????1??????: + \13019 = b[6:6]; + 30'b??????????????????????1???????: + \13019 = b[7:7]; + 30'b?????????????????????1????????: + \13019 = b[8:8]; + 30'b????????????????????1?????????: + \13019 = b[9:9]; + 30'b???????????????????1??????????: + \13019 = b[10:10]; + 30'b??????????????????1???????????: + \13019 = b[11:11]; + 30'b?????????????????1????????????: + \13019 = b[12:12]; + 30'b????????????????1?????????????: + \13019 = b[13:13]; + 30'b???????????????1??????????????: + \13019 = b[14:14]; + 30'b??????????????1???????????????: + \13019 = b[15:15]; + 30'b?????????????1????????????????: + \13019 = b[16:16]; + 30'b????????????1?????????????????: + \13019 = b[17:17]; + 30'b???????????1??????????????????: + \13019 = b[18:18]; + 30'b??????????1???????????????????: + \13019 = b[19:19]; + 30'b?????????1????????????????????: + \13019 = b[20:20]; + 30'b????????1?????????????????????: + \13019 = b[21:21]; + 30'b???????1??????????????????????: + \13019 = b[22:22]; + 30'b??????1???????????????????????: + \13019 = b[23:23]; + 30'b?????1????????????????????????: + \13019 = b[24:24]; + 30'b????1?????????????????????????: + \13019 = b[25:25]; + 30'b???1??????????????????????????: + \13019 = b[26:26]; + 30'b??1???????????????????????????: + \13019 = b[27:27]; + 30'b?1????????????????????????????: + \13019 = b[28:28]; + 30'b1?????????????????????????????: + \13019 = b[29:29]; + default: + \13019 = a; + endcase + endfunction + assign _0817_ = \13019 (1'h0, { 18'h00001, _0381_, _0363_, 10'h200 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13023 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13023 = b[0:0]; + 30'b????????????????????????????1?: + \13023 = b[1:1]; + 30'b???????????????????????????1??: + \13023 = b[2:2]; + 30'b??????????????????????????1???: + \13023 = b[3:3]; + 30'b?????????????????????????1????: + \13023 = b[4:4]; + 30'b????????????????????????1?????: + \13023 = b[5:5]; + 30'b???????????????????????1??????: + \13023 = b[6:6]; + 30'b??????????????????????1???????: + \13023 = b[7:7]; + 30'b?????????????????????1????????: + \13023 = b[8:8]; + 30'b????????????????????1?????????: + \13023 = b[9:9]; + 30'b???????????????????1??????????: + \13023 = b[10:10]; + 30'b??????????????????1???????????: + \13023 = b[11:11]; + 30'b?????????????????1????????????: + \13023 = b[12:12]; + 30'b????????????????1?????????????: + \13023 = b[13:13]; + 30'b???????????????1??????????????: + \13023 = b[14:14]; + 30'b??????????????1???????????????: + \13023 = b[15:15]; + 30'b?????????????1????????????????: + \13023 = b[16:16]; + 30'b????????????1?????????????????: + \13023 = b[17:17]; + 30'b???????????1??????????????????: + \13023 = b[18:18]; + 30'b??????????1???????????????????: + \13023 = b[19:19]; + 30'b?????????1????????????????????: + \13023 = b[20:20]; + 30'b????????1?????????????????????: + \13023 = b[21:21]; + 30'b???????1??????????????????????: + \13023 = b[22:22]; + 30'b??????1???????????????????????: + \13023 = b[23:23]; + 30'b?????1????????????????????????: + \13023 = b[24:24]; + 30'b????1?????????????????????????: + \13023 = b[25:25]; + 30'b???1??????????????????????????: + \13023 = b[26:26]; + 30'b??1???????????????????????????: + \13023 = b[27:27]; + 30'b?1????????????????????????????: + \13023 = b[28:28]; + 30'b1?????????????????????????????: + \13023 = b[29:29]; + default: + \13023 = a; + endcase + endfunction + assign _0818_ = \13023 (1'h0, { 19'h00003, e_in[340], e_in[340], 9'h000 }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + function [0:0] \13026 ; + input [0:0] a; + input [29:0] b; + input [29:0] s; + (* parallel_case *) + casez (s) + 30'b?????????????????????????????1: + \13026 = b[0:0]; + 30'b????????????????????????????1?: + \13026 = b[1:1]; + 30'b???????????????????????????1??: + \13026 = b[2:2]; + 30'b??????????????????????????1???: + \13026 = b[3:3]; + 30'b?????????????????????????1????: + \13026 = b[4:4]; + 30'b????????????????????????1?????: + \13026 = b[5:5]; + 30'b???????????????????????1??????: + \13026 = b[6:6]; + 30'b??????????????????????1???????: + \13026 = b[7:7]; + 30'b?????????????????????1????????: + \13026 = b[8:8]; + 30'b????????????????????1?????????: + \13026 = b[9:9]; + 30'b???????????????????1??????????: + \13026 = b[10:10]; + 30'b??????????????????1???????????: + \13026 = b[11:11]; + 30'b?????????????????1????????????: + \13026 = b[12:12]; + 30'b????????????????1?????????????: + \13026 = b[13:13]; + 30'b???????????????1??????????????: + \13026 = b[14:14]; + 30'b??????????????1???????????????: + \13026 = b[15:15]; + 30'b?????????????1????????????????: + \13026 = b[16:16]; + 30'b????????????1?????????????????: + \13026 = b[17:17]; + 30'b???????????1??????????????????: + \13026 = b[18:18]; + 30'b??????????1???????????????????: + \13026 = b[19:19]; + 30'b?????????1????????????????????: + \13026 = b[20:20]; + 30'b????????1?????????????????????: + \13026 = b[21:21]; + 30'b???????1??????????????????????: + \13026 = b[22:22]; + 30'b??????1???????????????????????: + \13026 = b[23:23]; + 30'b?????1????????????????????????: + \13026 = b[24:24]; + 30'b????1?????????????????????????: + \13026 = b[25:25]; + 30'b???1??????????????????????????: + \13026 = b[26:26]; + 30'b??1???????????????????????????: + \13026 = b[27:27]; + 30'b?1????????????????????????????: + \13026 = b[28:28]; + 30'b1?????????????????????????????: + \13026 = b[29:29]; + default: + \13026 = a; + endcase + endfunction + assign _0819_ = \13026 (_0086_, { _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, 1'h0, _0382_, _0364_, _0348_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_, _0086_ }, { _0754_, _0749_, _0744_, _0743_, _0742_, _0735_, _0723_, _0708_, _0696_, _0620_, _0537_, _0518_, _0517_, _0511_, _0501_, _0394_, _0391_, _0390_, _0383_, _0365_, _0349_, _0347_, _0330_, _0304_, _0285_, _0222_, _0147_, _0136_, _0132_, _0127_ }); + assign _0820_ = e_in[328] & valid_in; + assign _0821_ = _0828_ ? e_in[72:9] : ctrl[255:192]; + assign _0822_ = ~ e_in[379]; + assign _0823_ = e_in[72:9] + b_in; + assign _0824_ = _0818_ ? b_in : _0823_; + assign _0825_ = _0822_ ? _0824_ : _0074_; + assign _0826_ = _0817_ != e_in[379]; + assign _0827_ = _0829_ ? 1'h1 : _0783_; + assign _0828_ = _0816_ & _0817_; + assign _0829_ = _0816_ & _0826_; + assign _0830_ = _0816_ ? _0825_ : _0788_; + assign _0831_ = ~ _0812_; + assign _0832_ = _0831_ ? _0774_ : 1'h0; + assign _0833_ = _0837_ ? { _0074_, 8'h41 } : _0782_; + assign _0834_ = _0831_ ? _0789_ : 1'h1; + assign _0835_ = _0831_ ? { r[337:274], 1'h0 } : { _0074_, 1'h1 }; + assign _0836_ = e_in[327] ? _0832_ : _0774_; + assign _0837_ = e_in[327] & _0831_; + assign _0838_ = e_in[327] ? _0834_ : _0789_; + assign _0839_ = e_in[327] ? _0835_ : { r[337:274], 1'h0 }; + assign _0840_ = e_in[2:1] == 2'h2; + assign _0841_ = e_in[2:1] == 2'h0; + assign _0842_ = _0841_ ? 1'h1 : 1'h0; + assign _0843_ = _0840_ ? 1'h1 : 1'h0; + assign _0844_ = _0840_ ? 1'h0 : _0842_; + assign _0845_ = e_in[8:3] == 6'h3f; + assign _0846_ = _0849_ ? 1'h0 : _0086_; + assign _0847_ = valid_in ? _0843_ : 1'h0; + assign _0848_ = valid_in ? _0844_ : 1'h0; + assign _0849_ = valid_in & _0845_; + assign _0850_ = _0126_ ? _0755_ : 1'h0; + assign _0851_ = _0126_ ? { _0821_, _0770_, _0769_, _0768_, _0767_, _0766_, _0765_, _0764_, _0763_, _0762_, _0761_, _0760_, _0759_, _0758_, _0757_, _0756_ } : { ctrl[255:128], _0064_ }; + assign _0852_ = _0126_ ? _0771_ : 1'h0; + assign _0853_ = _0126_ ? _0772_ : 1'h0; + assign _0854_ = _0126_ ? _0773_ : 1'h0; + assign _0855_ = _0126_ ? { _0790_, _0838_, _0830_, _0787_, _0786_, _0785_, _0784_, _0827_, _0833_, _0781_, _0780_, _0779_, _0778_, _0777_, _0776_, _0775_[2:1], _0820_, _0836_ } : { 2'h0, _0069_, _0073_, _0072_, _0071_, ctrl[133], 1'h0, _0083_, 8'h44, _0012_, 114'h00000000000000000000000000000, _0085_, 2'h0 }; + assign _0856_ = _0126_ ? _0794_ : r[455:424]; + assign _0857_ = _0126_ ? { _0811_, _0810_, _0809_, _0808_, _0807_, _0806_, _0805_, _0804_, _0803_, _0802_, _0801_, _0800_, _0799_, _0798_, _0797_, _0796_, _0795_ } : 64'h0000000000000000; + assign _0858_ = _0126_ ? _0812_ : 1'h0; + assign _0859_ = _0126_ ? 1'h0 : _0847_; + assign _0860_ = _0126_ ? _0813_ : 1'h0; + assign _0861_ = _0126_ ? _0814_ : 1'h0; + assign _0862_ = _0126_ ? _0815_ : _0848_; + assign _0863_ = _0126_ ? _0819_ : _0846_; + assign _0864_ = _0124_ ? 1'h0 : _0850_; + assign _0865_ = _0124_ ? { ctrl[255:128], _0064_ } : _0851_; + assign _0866_ = _0124_ ? 1'h0 : _0852_; + assign _0867_ = _0124_ ? 1'h0 : _0853_; + assign _0868_ = _0124_ ? 1'h0 : _0854_; + assign _0869_ = _0124_ ? { 2'h0, _0069_, _0073_, _0072_, _0071_, ctrl[133], 1'h0, _0083_, 8'h44, _0012_, 114'h00000000000000000000000000000, _0085_, 2'h0 } : _0855_; + assign _0870_ = _0124_ ? r[455:424] : _0856_; + assign _0871_ = _0124_ ? 64'h0000000000000000 : _0857_; + assign _0872_ = _0124_ ? 1'h0 : _0858_; + assign _0873_ = _0124_ ? 1'h0 : _0859_; + assign _0874_ = _0124_ ? 1'h0 : _0860_; + assign _0875_ = _0124_ ? 1'h0 : _0861_; + assign _0876_ = _0124_ ? 1'h1 : _0862_; + assign _0877_ = _0124_ ? _0086_ : _0863_; + assign _0878_ = _0119_ ? 1'h0 : _0864_; + assign _0879_ = _0119_ ? { ctrl[255:128], _0064_ } : _0865_; + assign _0880_ = _0119_ ? 1'h0 : _0866_; + assign _0881_ = _0119_ ? 1'h1 : 1'h0; + assign _0882_ = _0119_ ? 1'h0 : _0867_; + assign _0883_ = _0119_ ? 1'h0 : _0868_; + assign _0884_ = _0119_ ? { _0073_, _0072_, _0071_, ctrl[133], 1'h0, _0083_, 8'h44, _0012_, 114'h00000000000000000000000000000, _0085_, 2'h0 } : _0869_[198:0]; + assign _0885_ = _0119_ ? 64'h0000000000000700 : _0869_[262:199]; + assign _0886_ = _0119_ ? 2'h0 : _0869_[264:263]; + assign _0887_ = _0119_ ? r[455:424] : _0870_; + assign _0888_ = _0119_ ? 64'h0000000000000000 : _0871_; + assign _0889_ = _0119_ ? 1'h0 : _0872_; + assign _0890_ = _0119_ ? 1'h0 : _0873_; + assign _0891_ = _0119_ ? 1'h1 : _0874_; + assign _0892_ = _0119_ ? 1'h0 : _0875_; + assign _0893_ = _0119_ ? 1'h0 : _0876_; + assign _0894_ = _0119_ ? _0086_ : _0877_; + assign _0895_ = _0110_ ? 1'h0 : _0878_; + assign _0896_ = _0110_ ? { ctrl[255:128], _0064_ } : _0879_; + assign _0897_ = _0110_ ? 1'h0 : _0882_; + assign _0898_ = _0110_ ? 1'h0 : _0883_; + assign _0899_ = _0110_ ? { 2'h0, _0069_, _0073_, _0072_, _0071_, ctrl[133], 1'h0, _0083_, 8'h44, _0012_, 114'h00000000000000000000000000000, _0085_, 2'h0 } : { _0886_, _0885_, _0884_ }; + assign _0900_ = _0110_ ? r[455:424] : _0887_; + assign _0901_ = _0110_ ? 64'h0000000000000000 : _0888_; + assign _0902_ = _0110_ ? 1'h0 : _0889_; + assign _0903_ = _0110_ ? 1'h0 : _0890_; + assign _0904_ = _0110_ ? 1'h1 : _0891_; + assign _0905_ = _0110_ ? 1'h0 : _0892_; + assign _0906_ = _0110_ ? 1'h0 : _0893_; + assign _0907_ = _0110_ ? _0086_ : _0894_; + assign _0908_ = _0090_ ? 1'h0 : _0895_; + assign _0909_ = _0090_ ? { ctrl[255:128], _0064_ } : _0896_; + assign _0910_ = _0090_ ? 1'h1 : 1'h0; + assign _0911_ = _0090_ ? 1'h0 : _0897_; + assign _0912_ = _0090_ ? 1'h0 : _0898_; + assign _0913_ = _0090_ ? { _0073_, _0072_, _0071_, ctrl[133], 1'h0, _0083_, 8'h44, _0012_, 114'h00000000000000000000000000000, _0085_, 2'h0 } : _0899_[198:0]; + assign _0914_ = _0090_ ? 64'h0000000000000d00 : _0899_[262:199]; + assign _0915_ = _0090_ ? 2'h0 : _0899_[264:263]; + assign _0916_ = _0090_ ? r[455:424] : _0900_; + assign _0917_ = _0090_ ? 64'h0000000000000000 : _0901_; + assign _0918_ = _0090_ ? 1'h0 : _0902_; + assign _0919_ = _0090_ ? 1'h0 : _0903_; + assign _0920_ = _0090_ ? 1'h1 : _0904_; + assign _0921_ = _0090_ ? 1'h0 : _0905_; + assign _0922_ = _0090_ ? 1'h0 : _0906_; + assign _0923_ = _0090_ ? _0086_ : _0907_; + assign _0924_ = _0088_ ? 1'h0 : _0908_; + assign _0925_ = _0088_ ? _0064_ : _0909_[63:0]; + assign _0926_ = _0088_ ? 2'h1 : _0909_[65:64]; + assign _0927_ = _0088_ ? ctrl[131:130] : _0909_[67:66]; + assign _0928_ = _0088_ ? 2'h0 : _0909_[69:68]; + assign _0929_ = _0088_ ? ctrl[135:134] : _0909_[71:70]; + assign _0930_ = _0088_ ? 4'h0 : _0909_[75:72]; + assign _0931_ = _0088_ ? ctrl[140] : _0909_[76]; + assign _0932_ = _0088_ ? 3'h0 : _0909_[79:77]; + assign _0933_ = _0088_ ? ctrl[190:144] : _0909_[126:80]; + assign _0934_ = _0088_ ? 1'h1 : _0909_[127]; + assign _0935_ = _0088_ ? ctrl[255:192] : _0909_[191:128]; + assign _0936_ = _0088_ ? 1'h0 : _0910_; + assign _0937_ = _0088_ ? 1'h0 : _0911_; + assign _0938_ = _0088_ ? 1'h0 : _0912_; + assign _0939_ = _0088_ ? 1'h1 : _0913_[0]; + assign _0940_ = _0088_ ? r[455:424] : _0916_; + assign _0941_ = _0088_ ? 64'h0000000000000000 : _0917_; + assign _0942_ = _0088_ ? 1'h0 : _0918_; + assign _0943_ = _0088_ ? 1'h0 : _0919_; + assign _0944_ = _0088_ ? 1'h0 : _0920_; + assign _0945_ = _0088_ ? 1'h0 : _0921_; + assign _0946_ = _0088_ ? 1'h0 : _0922_; + assign _0947_ = _0088_ ? _0086_ : _0923_; + assign _0948_ = r[194] ? 1'h1 : _0939_; + assign _0949_ = r[338] | r[340]; + assign _0950_ = r[338] & multiply_to_x[0]; + assign _0951_ = r[340] & divider_to_x[0]; + assign _0952_ = _0950_ | _0951_; + assign _0953_ = r[347:342] == 6'h2d; + assign _0954_ = r[347:342] == 6'h2c; + function [63:0] \13620 ; + input [63:0] a; + input [127:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \13620 = b[63:0]; + 2'b1?: + \13620 = b[127:64]; + default: + \13620 = a; + endcase + endfunction + assign _0955_ = \13620 (multiply_to_x[64:1], { multiply_to_x[128:33], multiply_to_x[64:33] }, { _0954_, _0953_ }); + assign _0956_ = r[338] ? _0955_ : divider_to_x[64:1]; + assign _0957_ = r[338] ? 1'h0 : divider_to_x[65]; + assign _0958_ = r[338] & r[354]; + assign _0959_ = r[359] | _0957_; + assign _0960_ = r[354] ? { _0959_, _0957_, _0957_ } : r[359:357]; + assign _0961_ = _0088_ ? 1'h0 : _0913_[1]; + assign _0962_ = _0958_ ? { _0961_, _0948_ } : { r[353], 1'h1 }; + assign _0963_ = _0088_ ? 7'h00 : _0913_[10:4]; + assign _0964_ = _0958_ ? _0963_ : { 2'h0, r[352:348] }; + assign _0965_ = _0088_ ? { _0012_, 1'h0 } : _0913_[121:116]; + assign _0966_ = _0958_ ? _0965_ : { _0960_, r[356:354] }; + assign _0967_ = _0088_ ? 1'h0 : _0915_[0]; + assign _0968_ = _0958_ ? 1'h1 : _0967_; + assign _0969_ = _0985_ ? 1'h1 : 1'h0; + assign _0970_ = _0958_ ? _0942_ : 1'h1; + assign _0971_ = _0088_ ? 1'h0 : _0913_[1]; + assign _0972_ = _0952_ ? _0962_ : { _0971_, _0948_ }; + assign _0973_ = _0088_ ? 7'h00 : _0913_[10:4]; + assign _0974_ = _0952_ ? _0964_ : _0973_; + assign _0975_ = _0088_ ? { _0012_, 1'h0 } : _0913_[121:116]; + assign _0976_ = _0952_ ? _0966_ : _0975_; + assign _0977_ = _0952_ ? _0968_ : 1'h1; + assign _0978_ = _0126_ ? _0791_ : 1'h0; + assign _0979_ = _0124_ ? 1'h0 : _0978_; + assign _0980_ = _0119_ ? 1'h0 : _0979_; + assign _0981_ = _0110_ ? 1'h0 : _0980_; + assign _0982_ = _0090_ ? 1'h0 : _0981_; + assign _0983_ = _0088_ ? 1'h0 : _0982_; + assign _0984_ = _0952_ ? _0983_ : r[338]; + assign _0985_ = _0952_ & _0958_; + assign _0986_ = _0126_ ? _0792_ : 1'h0; + assign _0987_ = _0124_ ? 1'h0 : _0986_; + assign _0988_ = _0119_ ? 1'h0 : _0987_; + assign _0989_ = _0110_ ? 1'h0 : _0988_; + assign _0990_ = _0090_ ? 1'h0 : _0989_; + assign _0991_ = _0088_ ? 1'h0 : _0990_; + assign _0992_ = _0952_ ? _0991_ : r[340]; + assign _0993_ = _0952_ ? _0956_ : _0941_; + assign _0994_ = _0952_ ? _0970_ : _0942_; + assign _0995_ = r[359] | multiply_to_x[129]; + assign _0996_ = _0088_ ? 1'h0 : _0913_[1]; + assign _0997_ = r[339] ? { r[353], 1'h1 } : { _0996_, _0948_ }; + assign _0998_ = _0088_ ? 7'h00 : _0913_[10:4]; + assign _0999_ = r[339] ? { 2'h0, r[352:348] } : _0998_; + assign _1000_ = _0088_ ? { _0012_, 1'h0 } : _0913_[121:116]; + assign _1001_ = r[339] ? { _0995_, multiply_to_x[129], multiply_to_x[129], r[356:354] } : _1000_; + assign _1002_ = r[339] ? r[74:11] : _0941_; + assign _1003_ = r[339] ? 1'h1 : _0942_; + assign _1004_ = _0949_ ? _0972_ : _0997_; + assign _1005_ = _0949_ ? _0974_ : _0999_; + assign _1006_ = _0949_ ? _0976_ : _1001_; + assign _1007_ = _0088_ ? 1'h0 : _0915_[0]; + assign _1008_ = _0949_ ? _0977_ : _1007_; + assign _1009_ = _0126_ ? _0791_ : 1'h0; + assign _1010_ = _0124_ ? 1'h0 : _1009_; + assign _1011_ = _0119_ ? 1'h0 : _1010_; + assign _1012_ = _0110_ ? 1'h0 : _1011_; + assign _1013_ = _0090_ ? 1'h0 : _1012_; + assign _1014_ = _0088_ ? 1'h0 : _1013_; + assign _1015_ = _0126_ ? _0792_ : 1'h0; + assign _1016_ = _0124_ ? 1'h0 : _1015_; + assign _1017_ = _0119_ ? 1'h0 : _1016_; + assign _1018_ = _0110_ ? 1'h0 : _1017_; + assign _1019_ = _0090_ ? 1'h0 : _1018_; + assign _1020_ = _0088_ ? 1'h0 : _1019_; + assign _1021_ = _0949_ ? { _0992_, _0969_, _0984_ } : { _1020_, 1'h0, _1014_ }; + assign _1022_ = _0949_ ? _0993_ : _1002_; + assign _1023_ = _0949_ ? _0994_ : _1003_; + assign _1024_ = r[341] ? { r[353], 1'h1 } : _1004_; + assign _1025_ = r[341] ? { 2'h0, r[352:348] } : _1005_; + assign _1026_ = _0088_ ? 1'h0 : _0913_[116]; + assign _1027_ = r[341] ? _1026_ : _1006_[0]; + assign _1028_ = r[341] ? r[359:355] : _1006_[5:1]; + assign _1029_ = _0088_ ? 1'h0 : _0915_[0]; + assign _1030_ = r[341] ? _1029_ : _1008_; + assign _1031_ = _0126_ ? _0791_ : 1'h0; + assign _1032_ = _0124_ ? 1'h0 : _1031_; + assign _1033_ = _0119_ ? 1'h0 : _1032_; + assign _1034_ = _0110_ ? 1'h0 : _1033_; + assign _1035_ = _0090_ ? 1'h0 : _1034_; + assign _1036_ = _0088_ ? 1'h0 : _1035_; + assign _1037_ = _0126_ ? _0792_ : 1'h0; + assign _1038_ = _0124_ ? 1'h0 : _1037_; + assign _1039_ = _0119_ ? 1'h0 : _1038_; + assign _1040_ = _0110_ ? 1'h0 : _1039_; + assign _1041_ = _0090_ ? 1'h0 : _1040_; + assign _1042_ = _0088_ ? 1'h0 : _1041_; + assign _1043_ = r[341] ? { _1042_, 1'h0, _1036_ } : _1021_; + assign _1044_ = r[341] ? countzero_result : _1022_; + assign _1045_ = r[341] ? 1'h1 : _1023_; + assign _1046_ = r[273] ? 1'h1 : _1024_[0]; + assign _1047_ = _0088_ ? 1'h0 : _0913_[1]; + assign _1048_ = r[273] ? _1047_ : _1024_[1]; + assign _1049_ = _0088_ ? 7'h00 : _0913_[10:4]; + assign _1050_ = r[273] ? _1049_ : _1025_; + assign _1051_ = _0088_ ? { _0012_, 1'h0 } : _0913_[121:116]; + assign _1052_ = r[273] ? _1051_ : { _1028_, _1027_ }; + assign _1053_ = _0088_ ? 1'h0 : _0915_[0]; + assign _1054_ = r[273] ? _1053_ : _1030_; + assign _1055_ = _0126_ ? _0791_ : 1'h0; + assign _1056_ = _0124_ ? 1'h0 : _1055_; + assign _1057_ = _0119_ ? 1'h0 : _1056_; + assign _1058_ = _0110_ ? 1'h0 : _1057_; + assign _1059_ = _0090_ ? 1'h0 : _1058_; + assign _1060_ = _0088_ ? 1'h0 : _1059_; + assign _1061_ = _0126_ ? _0792_ : 1'h0; + assign _1062_ = _0124_ ? 1'h0 : _1061_; + assign _1063_ = _0119_ ? 1'h0 : _1062_; + assign _1064_ = _0110_ ? 1'h0 : _1063_; + assign _1065_ = _0090_ ? 1'h0 : _1064_; + assign _1066_ = _0088_ ? 1'h0 : _1065_; + assign _1067_ = r[273] ? { _1066_, 1'h0, _1060_ } : _1043_; + assign _1068_ = _0088_ ? 1'h0 : _0915_[1]; + assign _1069_ = _0126_ ? _0839_ : { r[337:274], 1'h0 }; + assign _1070_ = _0124_ ? { r[337:274], 1'h0 } : _1069_; + assign _1071_ = _0119_ ? { r[337:274], 1'h0 } : _1070_; + assign _1072_ = _0110_ ? { r[337:274], 1'h0 } : _1071_; + assign _1073_ = _0090_ ? { r[337:274], 1'h0 } : _1072_; + assign _1074_ = _0088_ ? { r[337:274], 1'h0 } : _1073_; + assign _1075_ = _0126_ ? { _0012_, e_in[329:328], e_in[77:73], e_in[8:3], _0793_ } : { r[359:342], 1'h0 }; + assign _1076_ = _0124_ ? { r[359:342], 1'h0 } : _1075_; + assign _1077_ = _0119_ ? { r[359:342], 1'h0 } : _1076_; + assign _1078_ = _0110_ ? { r[359:342], 1'h0 } : _1077_; + assign _1079_ = _0090_ ? { r[359:342], 1'h0 } : _1078_; + assign _1080_ = _0088_ ? { r[359:342], 1'h0 } : _1079_; + assign _1081_ = r[273] ? r[74:11] : _1044_; + assign _1082_ = r[273] ? _0942_ : _1045_; + assign _1083_ = _0946_ | 1'h0; + assign _1084_ = _1083_ ? 1'h1 : 1'h0; + assign _1085_ = _0088_ ? _0069_ : _0914_; + assign _1086_ = _1083_ ? 64'h0000000000000700 : _1085_; + assign _1087_ = _0088_ ? { _0073_, _0072_, _0071_, ctrl[133], 1'h0 } : _0913_[198:194]; + assign _1088_ = _1083_ ? 1'h1 : _0944_; + assign _1089_ = _0088_ ? ctrl[320:257] : _0913_[193:130]; + assign _1090_ = r[273] ? r[337:274] : _1089_; + assign _1091_ = _0945_ ? _0074_ : _1090_; + assign _1092_ = _0088_ ? 1'h1 : _0913_[122]; + assign _1093_ = r[273] ? 1'h1 : _1092_; + assign _1094_ = _1088_ ? 1'h1 : _1093_; + assign _1095_ = _0088_ ? ctrl[320:257] : _0913_[193:130]; + assign _1096_ = r[273] ? r[337:274] : _1095_; + assign _1097_ = _1088_ ? _1091_ : _1096_; + assign _1098_ = _0088_ ? 7'h23 : _0913_[129:123]; + assign _1099_ = r[273] ? 7'h20 : _1098_; + assign _1100_ = _0088_ ? 1'h0 : r[266]; + assign _1101_ = _0947_ ? 1'h1 : _1100_; + assign _1102_ = _0088_ ? 1'h0 : r[265]; + assign _1103_ = _0088_ ? 41'h00000000000 : _0913_[115:75]; + assign _1104_ = ~ _1088_; + assign _1105_ = _1082_ & _1104_; + assign _1106_ = _0088_ ? _0085_ : _0913_[2]; + assign _1107_ = ~ l_in[8]; + assign _1108_ = ~ l_in[7]; + assign _1109_ = _1108_ ? 64'h0000000000000300 : 64'h0000000000000380; + assign _1110_ = ~ l_in[7]; + assign _1111_ = _0110_ ? 1'h0 : _0881_; + assign _1112_ = _0090_ ? 1'h0 : _1111_; + assign _1113_ = _0088_ ? 1'h0 : _1112_; + assign _1114_ = _1110_ ? l_in[6:5] : { _1084_, _1113_ }; + assign _1115_ = _0090_ ? _0109_ : 1'h0; + assign _1116_ = _0088_ ? 1'h0 : _1115_; + assign _1117_ = _1110_ ? l_in[4] : _1116_; + assign _1118_ = _1110_ ? l_in[3] : _0936_; + assign _1119_ = _1110_ ? 64'h0000000000000400 : 64'h0000000000000480; + assign _1120_ = _0110_ ? 1'h0 : _0881_; + assign _1121_ = _0090_ ? 1'h0 : _1120_; + assign _1122_ = _0088_ ? 1'h0 : _1121_; + assign _1123_ = _1107_ ? { _1084_, _1122_ } : _1114_; + assign _1124_ = _0090_ ? _0109_ : 1'h0; + assign _1125_ = _0088_ ? 1'h0 : _1124_; + assign _1126_ = _1107_ ? _1125_ : _1117_; + assign _1127_ = _1107_ ? _0936_ : _1118_; + assign _1128_ = _1107_ ? _1109_ : _1119_; + assign _1129_ = _0110_ ? 1'h0 : _0881_; + assign _1130_ = _0090_ ? 1'h0 : _1129_; + assign _1131_ = _0088_ ? 1'h0 : _1130_; + assign _1132_ = l_in[2] ? { _1084_, _1131_ } : _1123_; + assign _1133_ = _0090_ ? _0109_ : 1'h0; + assign _1134_ = _0088_ ? 1'h0 : _1133_; + assign _1135_ = l_in[2] ? _1134_ : _1126_; + assign _1136_ = l_in[2] ? _0936_ : _1127_; + assign _1137_ = l_in[2] ? 64'h0000000000000600 : _1128_; + assign _1138_ = _0110_ ? 1'h0 : _0881_; + assign _1139_ = _0090_ ? 1'h0 : _1138_; + assign _1140_ = _0088_ ? 1'h0 : _1139_; + assign _1141_ = l_in[1] ? _1132_ : { _1084_, _1140_ }; + assign _1142_ = _0090_ ? _0109_ : 1'h0; + assign _1143_ = _0088_ ? 1'h0 : _1142_; + assign _1144_ = l_in[1] ? _1135_ : _1143_; + assign _1145_ = l_in[1] ? _1136_ : _0936_; + assign _1146_ = _0110_ ? 1'h0 : _0880_; + assign _1147_ = _0090_ ? 1'h0 : _1146_; + assign _1148_ = _0088_ ? 1'h0 : _1147_; + assign _1149_ = _0090_ ? _0108_ : 1'h0; + assign _1150_ = _0088_ ? 1'h0 : _1149_; + assign _1151_ = l_in[1] ? 8'h45 : { _1099_, _1094_ }; + assign _1152_ = l_in[1] ? _1137_ : _1086_; + assign _1153_ = _1088_ | l_in[1]; + assign _1154_ = _1153_ ? 1'h1 : 1'h0; + assign _1155_ = _1153_ ? 5'h05 : _1087_; + assign _1156_ = _1155_[0] ? 1'h0 : _1046_; + assign _1157_ = _1155_[0] ? 1'h1 : _1054_; + assign _1158_ = e_in[375] ~^ ctrl[128]; + assign _1159_ = e_in[370:365] == 6'h1f; + assign _1160_ = e_in[349:348] == 2'h3; + assign _1161_ = _1159_ & _1160_; + assign _1162_ = e_in[344:340] == 5'h15; + assign _1163_ = _1161_ & _1162_; + assign _1164_ = _1163_ ? 1'h1 : 1'h0; + assign _1165_ = ~ ctrl[142]; + assign _1166_ = ~ ctrl[191]; + assign _1179_ = _0355_[4] ? _1178_ : _1177_; + assign _1190_ = _0373_[4] ? _1189_ : _1188_; + assign _1201_ = _0392_[4] ? _1200_ : _1199_; + assign _1212_ = _0422_[4] ? _1211_ : _1210_; + assign _1223_ = _0423_[4] ? _1222_ : _1221_; + assign _1226_ = _0424_[0] ? e_in[349] : e_in[348]; + assign _1227_ = _0424_[2] ? _1225_ : _1224_; + assign _1228_ = _0424_[3] ? _1226_ : _1227_; + assign _1239_ = _0737_[4] ? _1238_ : _1237_; + assign _1250_ = _0738_[4] ? _1249_ : _1248_; + assign _0000_ = 1'h1 & e_in[286]; + assign a_in = _0000_ ? r[74:11] : e_in[157:94]; + assign _0001_ = 1'h1 & e_in[287]; + assign b_in = _0001_ ? r[74:11] : e_in[221:158]; + assign _0002_ = 1'h1 & e_in[288]; + assign c_in = _0002_ ? r[74:11] : e_in[285:222]; + assign _0003_ = l_in[0] | r[263]; + assign _0004_ = _0003_ | fp_in[0]; + assign _0005_ = ~ _0004_; + assign valid_in = e_in[0] & _0005_; + assign _0006_ = rst ? 456'h000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 : { _0940_, _0084_, _1080_, _1067_, _1074_, _0087_, _1101_, _1102_, _1068_, _1157_, _1152_, _1155_, _1097_, _1151_, _1052_, _1103_, _1081_, _1050_, _1105_, _1106_, _1048_, _1156_ }; + assign _0007_ = rst ? ctrl[127:0] : { _0925_, _0063_ }; + assign _0008_ = rst ? 64'h8000000000000001 : { _0934_, _0933_, _0932_, _0931_, _0930_, _0929_, _0928_, _0927_, _0926_ }; + assign _0009_ = rst ? ctrl[255:192] : _0935_; + assign _0010_ = rst ? 1'h0 : _1154_; + assign _0011_ = rst ? ctrl[320:257] : { ctrl[191:159], _1145_, 1'h0, _1144_, _1150_, ctrl[154:150], 2'h0, _1141_, _1148_, 1'h0, ctrl[143:128] }; + always @(posedge clk) + r <= _0006_; + always @(posedge clk) + ctrl <= { _0011_, _0010_, _0009_, _0008_, _0007_ }; + zero_counter countzero_0 ( + .clk(clk), + .count_right(e_in[349]), + .is_32bit(e_in[337]), + .result(countzero_result), + .rs(c_in) + ); + divider divider_0 ( + .clk(clk), + .d_in({ _0054_, _0044_, _0062_, e_in[337], e_in[338], _0061_, _0938_ }), + .d_out(divider_to_x), + .rst(rst) + ); + logical logical_0 ( + .datalen(e_in[374:371]), + .invert_in(e_in[330]), + .invert_out(e_in[331]), + .op(e_in[8:3]), + .rb(b_in), + .result(logical_result), + .rs(c_in) + ); + multiply_4 multiply_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .clk(clk), + .m_in({ _0051_, e_in[337], _0050_, _0060_, _0937_ }), + .m_out(multiply_to_x) + ); + random random_0 ( + .clk(clk), + .data(random_cond), + .err(random_err), + .raw(random_raw) + ); + rotator rotator_0 ( + .arith(e_in[338]), + .carry_out(rotator_carry), + .clear_left(rot_clear_left), + .clear_right(rot_clear_right), + .insn(e_in[370:339]), + .is_32bit(e_in[337]), + .ra(a_in), + .result(rotator_result), + .right_shift(right_shift), + .rs(c_in), + .shift(b_in[6:0]), + .sign_ext_rs(rot_sign_ext) + ); + assign flush_out = r[194]; + assign busy_out = _0004_; + assign l_out = { e_in[337], _1166_, _1165_, ctrl[132], e_in[328], e_in[378], _1052_[5:1], e_in[84:80], e_in[377:376], _1158_, _1164_, e_in[374:371], e_in[79:73], c_in, b_in, a_in, e_in[370:339], e_in[72:3], _0943_ }; + assign f_out = r[262:194]; + assign fp_out = { e_in[336], e_in[328], e_in[79:73], c_in, b_in, a_in, ctrl[139], ctrl[136], e_in[337], e_in[370:339], e_in[72:3], 1'h0 }; + assign e_out = r[193:0]; + assign dbg_msr_out = ctrl[191:128]; + assign icache_inval = _0924_; + assign terminate_out = r[264]; + assign log_out = 15'hzzzz; + assign log_rd_addr = r[455:424]; +endmodule + +module fetch1_05c2030ccbceb505e9c9c1e14c8b4fa317497e84(clk, rst, stall_in, flush_in, stop_in, alt_reset_in, e_in, d_in, i_out, log_out); + wire [63:0] _00_; + wire [31:0] _01_; + wire [31:0] _02_; + wire _03_; + wire _04_; + wire _05_; + wire [63:0] _06_; + wire [31:0] _07_; + wire [63:0] _08_; + wire [64:0] _09_; + wire [64:0] _10_; + wire _11_; + wire [63:0] _12_; + wire [2:0] _13_; + wire _14_; + wire [63:0] _15_; + wire _16_; + wire [2:0] _17_; + wire _18_; + wire [63:0] _19_; + wire _20_; + wire _21_; + wire _22_; + input alt_reset_in; + input clk; + input [64:0] d_in; + input [68:0] e_in; + input flush_in; + output [69:0] i_out; + reg [42:0] log_nia; + output [42:0] log_out; + reg [69:0] r; + reg r_int; + wire r_next_int; + input rst; + input stall_in; + input stop_in; + always @(posedge clk) + r <= { _19_, _18_, stop_in, _17_, _22_ }; + always @(posedge clk) + r_int <= r_next_int; + always @(posedge clk) + log_nia <= { r[69], r[49:8] }; + assign _00_ = alt_reset_in ? 64'hfffffffff0000000 : 64'h0000000000000000; + assign _01_ = e_in[4] ? 32'd0 : e_in[68:37]; + assign _02_ = r_int ? 32'd0 : d_in[64:33]; + assign _03_ = ~ stall_in; + assign _04_ = ~ r[4]; + assign _05_ = ~ r_int; + assign _06_ = r[69:6] + 64'h0000000000000004; + assign _07_ = r[37:6] + 32'd4; + assign _08_ = _05_ ? _06_ : { 32'h00000000, _07_ }; + assign _09_ = _04_ ? { _08_, 1'h1 } : { r[69:6], 1'h0 }; + assign _10_ = _03_ ? _09_ : { r[69:6], 1'h0 }; + assign _11_ = d_in[0] ? 1'h0 : _10_[0]; + assign _12_ = d_in[0] ? { _02_, d_in[32:3], 2'h0 } : _10_[64:1]; + assign _13_ = e_in[0] ? e_in[3:1] : r[3:1]; + assign _14_ = e_in[0] ? 1'h0 : _11_; + assign _15_ = e_in[0] ? { _01_, e_in[36:7], 2'h0 } : _12_; + assign _16_ = e_in[0] ? e_in[4] : r_int; + assign _17_ = rst ? 3'h2 : _13_; + assign _18_ = rst ? 1'h0 : _14_; + assign _19_ = rst ? _00_ : _15_; + assign r_next_int = rst ? 1'h0 : _16_; + assign _20_ = ~ rst; + assign _21_ = ~ stop_in; + assign _22_ = _20_ & _21_; + assign i_out = r; + assign log_out = log_nia; +endmodule + +module gpr_hazard_1(clk, busy_in, deferred, complete_in, flush_in, issuing, gpr_write_valid_in, gpr_write_in, bypass_avail, gpr_read_valid_in, gpr_read_in, ugpr_write_valid, ugpr_write_reg, stall_out, use_bypass); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire _23_; + wire _24_; + wire _25_; + wire _26_; + wire _27_; + wire _28_; + wire _29_; + wire _30_; + wire _31_; + wire _32_; + wire _33_; + wire _34_; + wire [6:0] _35_; + wire [7:0] _36_; + wire [6:0] _37_; + wire [7:0] _38_; + input busy_in; + input bypass_avail; + input clk; + input complete_in; + input deferred; + input flush_in; + input [6:0] gpr_read_in; + input gpr_read_valid_in; + input [6:0] gpr_write_in; + input gpr_write_valid_in; + input issuing; + reg [33:0] r = 34'h000000000; + output stall_out; + input [6:0] ugpr_write_reg; + input ugpr_write_valid; + output use_bypass; + always @(posedge clk) + r <= { _37_, _34_, _38_, _32_, _35_, _30_, _36_, _28_ }; + assign _00_ = complete_in ? 1'h0 : r[0]; + assign _01_ = complete_in ? 1'h0 : r[9]; + assign _02_ = r[25:19] == gpr_read_in; + assign _03_ = r[17] & _02_; + assign _04_ = r[18] ? 1'h0 : 1'h1; + assign _05_ = r[18] ? 1'h1 : 1'h0; + assign _06_ = _03_ ? _04_ : 1'h0; + assign _07_ = _03_ ? _05_ : 1'h0; + assign _08_ = r[33:27] == gpr_read_in; + assign _09_ = r[26] & _08_; + assign _10_ = _09_ ? 1'h1 : _06_; + assign _11_ = r[8:2] == gpr_read_in; + assign _12_ = _00_ & _11_; + assign _13_ = r[1] ? _10_ : 1'h1; + assign _14_ = _16_ ? 1'h1 : _07_; + assign _15_ = _12_ ? _13_ : _10_; + assign _16_ = _12_ & r[1]; + assign _17_ = r[16:10] == gpr_read_in; + assign _18_ = _01_ & _17_; + assign _19_ = _18_ ? 1'h1 : _15_; + assign _20_ = gpr_read_valid_in ? _19_ : 1'h0; + assign _21_ = gpr_read_valid_in ? _14_ : 1'h0; + assign _22_ = ~ busy_in; + assign _23_ = _22_ ? 1'h0 : r[26]; + assign _24_ = ~ deferred; + assign _25_ = _24_ & issuing; + assign _26_ = _22_ ? 1'h0 : r[17]; + assign _27_ = _22_ ? r[17] : _00_; + assign _28_ = flush_in ? 1'h0 : _27_; + assign _29_ = _22_ ? r[26] : _01_; + assign _30_ = flush_in ? 1'h0 : _29_; + assign _31_ = _25_ ? gpr_write_valid_in : _26_; + assign _32_ = flush_in ? 1'h0 : _31_; + assign _33_ = _25_ ? ugpr_write_valid : _23_; + assign _34_ = flush_in ? 1'h0 : _33_; + assign _35_ = _22_ ? r[33:27] : r[16:10]; + assign _36_ = _22_ ? r[25:18] : r[8:1]; + assign _37_ = _25_ ? ugpr_write_reg : r[33:27]; + assign _38_ = _25_ ? { gpr_write_in, bypass_avail } : r[25:18]; + assign stall_out = _20_; + assign use_bypass = _21_; +endmodule + + +module loadstore1_0_5ba93c9db0cff93f52b521d7420e43f6eda2784f(clk, rst, l_in, d_in, m_in, dc_stall, e_out, l_out, d_out, m_out, log_out); + wire [63:0] _000_; + wire [224:0] _001_; + wire [2:0] _002_; + wire [179:0] _003_; + wire _004_; + wire [1:0] _005_; + wire _006_; + wire [73:0] _007_; + wire [2:0] _008_; + wire [2:0] _009_; + wire [2:0] _010_; + wire [3:0] _011_; + wire [2:0] _012_; + wire [3:0] _013_; + wire [2:0] _014_; + wire [3:0] _015_; + wire [2:0] _016_; + wire [3:0] _017_; + wire [2:0] _018_; + wire [3:0] _019_; + wire [2:0] _020_; + wire [3:0] _021_; + wire [2:0] _022_; + wire [3:0] _023_; + wire [2:0] _024_; + wire [3:0] _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire [1:0] _044_; + wire _045_; + wire [1:0] _046_; + wire _047_; + wire _048_; + wire _049_; + wire [7:0] _050_; + wire _051_; + wire _052_; + wire [1:0] _053_; + wire _054_; + wire [1:0] _055_; + wire _056_; + wire _057_; + wire _058_; + wire [7:0] _059_; + wire _060_; + wire _061_; + wire [1:0] _062_; + wire _063_; + wire [1:0] _064_; + wire _065_; + wire _066_; + wire _067_; + wire [7:0] _068_; + wire _069_; + wire _070_; + wire [1:0] _071_; + wire _072_; + wire [1:0] _073_; + wire _074_; + wire _075_; + wire _076_; + wire [7:0] _077_; + wire _078_; + wire _079_; + wire [1:0] _080_; + wire _081_; + wire [1:0] _082_; + wire _083_; + wire _084_; + wire _085_; + wire [7:0] _086_; + wire _087_; + wire _088_; + wire [1:0] _089_; + wire _090_; + wire [1:0] _091_; + wire _092_; + wire _093_; + wire _094_; + wire [7:0] _095_; + wire _096_; + wire _097_; + wire [1:0] _098_; + wire _099_; + wire [1:0] _100_; + wire _101_; + wire _102_; + wire _103_; + wire [7:0] _104_; + wire _105_; + wire _106_; + wire [1:0] _107_; + wire _108_; + wire [1:0] _109_; + wire _110_; + wire _111_; + wire _112_; + wire [7:0] _113_; + wire _114_; + wire [2:0] _115_; + wire [2:0] _116_; + wire [2:0] _117_; + wire [2:0] _118_; + wire [2:0] _119_; + wire [2:0] _120_; + wire [2:0] _121_; + wire [2:0] _122_; + wire [2:0] _123_; + wire [2:0] _124_; + wire [2:0] _125_; + wire [2:0] _126_; + wire [2:0] _127_; + wire [2:0] _128_; + wire [2:0] _129_; + wire [2:0] _130_; + wire [2:0] _131_; + wire [2:0] _132_; + wire [63:0] _133_; + wire [60:0] _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire _141_; + wire _142_; + wire _143_; + wire _144_; + wire _145_; + wire [7:0] _146_; + wire [31:0] _147_; + wire [31:0] _148_; + wire [31:0] _149_; + wire _150_; + wire _151_; + wire [2:0] _152_; + wire _153_; + wire _154_; + wire _155_; + wire [2:0] _156_; + wire _157_; + wire _158_; + wire _159_; + wire _160_; + wire [2:0] _161_; + wire _162_; + wire _163_; + wire _164_; + wire _165_; + wire _166_; + wire [63:0] _167_; + wire _168_; + wire _169_; + wire [2:0] _170_; + wire _171_; + wire _172_; + wire _173_; + wire [2:0] _174_; + wire [1:0] _175_; + wire _176_; + wire _177_; + wire _178_; + wire _179_; + wire _180_; + wire [4:0] _181_; + wire _182_; + wire _183_; + wire _184_; + wire _185_; + wire _186_; + wire _187_; + wire _188_; + wire _189_; + wire _190_; + wire [2:0] _191_; + wire [2:0] _192_; + wire _193_; + wire _194_; + wire _195_; + wire _196_; + wire _197_; + wire [1:0] _198_; + wire _199_; + wire _200_; + wire _201_; + wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire [63:0] _206_; + wire [2:0] _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire _215_; + wire _216_; + wire _217_; + wire [1:0] _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire _223_; + wire _224_; + wire [2:0] _225_; + wire _226_; + wire [31:0] _227_; + wire _228_; + wire _229_; + wire _230_; + wire _231_; + wire _232_; + wire _233_; + wire _234_; + wire _235_; + wire [7:0] _236_; + wire [15:0] _237_; + wire [2:0] _238_; + wire [2:0] _239_; + wire _240_; + wire _241_; + wire _242_; + wire _243_; + wire _244_; + wire _245_; + wire _246_; + wire _247_; + wire _248_; + wire _249_; + wire _250_; + wire _251_; + wire [63:0] _252_; + wire [63:0] _253_; + wire _254_; + wire _255_; + wire _256_; + wire _257_; + wire _258_; + wire [63:0] _259_; + wire [31:0] _260_; + wire [2:0] _261_; + wire [95:0] _262_; + wire _263_; + wire _264_; + wire _265_; + wire _266_; + wire _267_; + wire _268_; + wire _269_; + wire _270_; + wire [2:0] _271_; + wire [95:0] _272_; + wire _273_; + wire _274_; + wire [63:0] _275_; + wire _276_; + wire _277_; + wire _278_; + wire [63:0] _279_; + wire _280_; + wire _281_; + wire _282_; + wire [2:0] _283_; + wire [2:0] _284_; + wire [2:0] _285_; + wire _286_; + wire _287_; + wire _288_; + wire [67:0] _289_; + wire [218:0] _290_; + wire [7:0] _291_; + wire _292_; + wire [63:0] _293_; + wire [63:0] _294_; + wire _295_; + wire _296_; + wire _297_; + wire _298_; + wire [71:0] _299_; + wire [71:0] _300_; + wire [71:0] _301_; + wire _302_; + wire _303_; + wire _304_; + wire _305_; + wire _306_; + wire _307_; + wire [31:0] _308_; + wire [31:0] _309_; + wire [95:0] _310_; + wire [95:0] _311_; + wire [72:0] _312_; + wire [49:0] _313_; + wire [7:0] _314_; + wire [7:0] _315_; + wire [7:0] _316_; + wire [7:0] _317_; + wire [7:0] _318_; + wire [7:0] _319_; + wire [7:0] _320_; + wire [7:0] _321_; + wire [7:0] _322_; + wire [7:0] _323_; + wire [7:0] _324_; + wire [7:0] _325_; + wire [7:0] _326_; + wire [7:0] _327_; + wire [7:0] _328_; + wire [7:0] _329_; + wire [7:0] _330_; + wire [7:0] _331_; + wire [7:0] _332_; + wire [7:0] _333_; + wire [7:0] _334_; + wire [7:0] _335_; + wire [7:0] _336_; + wire [7:0] _337_; + wire [7:0] _338_; + wire [7:0] _339_; + wire [7:0] _340_; + wire [7:0] _341_; + wire [7:0] _342_; + wire [7:0] _343_; + wire [7:0] _344_; + wire [7:0] _345_; + wire [7:0] _346_; + wire [7:0] _347_; + wire [7:0] _348_; + wire [7:0] _349_; + wire [7:0] _350_; + wire [7:0] _351_; + wire [7:0] _352_; + wire [7:0] _353_; + wire [7:0] _354_; + wire [7:0] _355_; + wire [7:0] _356_; + wire [7:0] _357_; + wire [7:0] _358_; + wire [7:0] _359_; + wire [7:0] _360_; + wire [7:0] _361_; + wire [7:0] _362_; + wire [7:0] _363_; + wire [7:0] _364_; + wire [7:0] _365_; + wire [7:0] _366_; + wire [7:0] _367_; + wire [7:0] _368_; + wire [7:0] _369_; + wire [7:0] _370_; + wire [7:0] _371_; + wire [7:0] _372_; + wire [7:0] _373_; + wire [7:0] _374_; + wire [7:0] _375_; + wire [7:0] _376_; + wire [7:0] _377_; + wire [7:0] _378_; + wire [7:0] _379_; + wire [7:0] _380_; + wire [7:0] _381_; + wire [7:0] _382_; + wire [7:0] _383_; + wire [7:0] _384_; + wire [7:0] _385_; + wire [7:0] _386_; + wire [7:0] _387_; + wire [7:0] _388_; + wire [7:0] _389_; + wire [7:0] _390_; + wire [7:0] _391_; + wire [7:0] _392_; + wire [7:0] _393_; + wire [7:0] _394_; + wire [7:0] _395_; + wire [7:0] _396_; + wire [7:0] _397_; + wire [7:0] _398_; + wire [7:0] _399_; + wire [7:0] _400_; + wire [7:0] _401_; + wire [7:0] _402_; + wire [7:0] _403_; + wire [7:0] _404_; + wire [7:0] _405_; + wire [7:0] _406_; + wire [7:0] _407_; + wire [7:0] _408_; + wire [7:0] _409_; + wire [7:0] _410_; + wire [7:0] _411_; + wire [7:0] _412_; + wire [7:0] _413_; + wire [7:0] _414_; + wire [7:0] _415_; + wire [7:0] _416_; + wire [7:0] _417_; + wire [7:0] _418_; + wire [7:0] _419_; + wire [7:0] _420_; + wire [7:0] _421_; + wire [7:0] _422_; + wire [7:0] _423_; + wire [7:0] _424_; + wire [7:0] _425_; + input clk; + input [67:0] d_in; + output [142:0] d_out; + input dc_stall; + output [8:0] e_out; + input [325:0] l_in; + output [79:0] l_out; + output [9:0] log_out; + wire [63:0] lsu_sum; + input [70:0] m_in; + output [144:0] m_out; + reg [485:0] r; + input rst; + assign _362_ = _011_[0] ? d_in[16:9] : d_in[8:1]; + assign _363_ = _011_[0] ? d_in[48:41] : d_in[40:33]; + assign _364_ = _013_[0] ? d_in[16:9] : d_in[8:1]; + assign _365_ = _013_[0] ? d_in[48:41] : d_in[40:33]; + assign _366_ = _015_[0] ? d_in[16:9] : d_in[8:1]; + assign _367_ = _015_[0] ? d_in[48:41] : d_in[40:33]; + assign _368_ = _017_[0] ? d_in[16:9] : d_in[8:1]; + assign _369_ = _017_[0] ? d_in[48:41] : d_in[40:33]; + assign _370_ = _019_[0] ? d_in[16:9] : d_in[8:1]; + assign _371_ = _019_[0] ? d_in[48:41] : d_in[40:33]; + assign _372_ = _021_[0] ? d_in[16:9] : d_in[8:1]; + assign _373_ = _021_[0] ? d_in[48:41] : d_in[40:33]; + assign _374_ = _023_[0] ? d_in[16:9] : d_in[8:1]; + assign _375_ = _023_[0] ? d_in[48:41] : d_in[40:33]; + assign _376_ = _025_[0] ? d_in[16:9] : d_in[8:1]; + assign _377_ = _025_[0] ? d_in[48:41] : d_in[40:33]; + assign _378_ = _118_[0] ? l_in[246:239] : l_in[238:231]; + assign _379_ = _118_[0] ? l_in[278:271] : l_in[270:263]; + assign _380_ = _120_[0] ? l_in[246:239] : l_in[238:231]; + assign _381_ = _120_[0] ? l_in[278:271] : l_in[270:263]; + assign _382_ = _122_[0] ? l_in[246:239] : l_in[238:231]; + assign _383_ = _122_[0] ? l_in[278:271] : l_in[270:263]; + assign _384_ = _124_[0] ? l_in[246:239] : l_in[238:231]; + assign _385_ = _124_[0] ? l_in[278:271] : l_in[270:263]; + assign _386_ = _126_[0] ? l_in[246:239] : l_in[238:231]; + assign _387_ = _126_[0] ? l_in[278:271] : l_in[270:263]; + assign _388_ = _128_[0] ? l_in[246:239] : l_in[238:231]; + assign _389_ = _128_[0] ? l_in[278:271] : l_in[270:263]; + assign _390_ = _130_[0] ? l_in[246:239] : l_in[238:231]; + assign _391_ = _130_[0] ? l_in[278:271] : l_in[270:263]; + assign _392_ = _132_[0] ? l_in[246:239] : l_in[238:231]; + assign _393_ = _132_[0] ? l_in[278:271] : l_in[270:263]; + assign _394_ = _011_[0] ? d_in[32:25] : d_in[24:17]; + assign _395_ = _011_[0] ? d_in[64:57] : d_in[56:49]; + assign _396_ = _013_[0] ? d_in[32:25] : d_in[24:17]; + assign _397_ = _013_[0] ? d_in[64:57] : d_in[56:49]; + assign _398_ = _015_[0] ? d_in[32:25] : d_in[24:17]; + assign _399_ = _015_[0] ? d_in[64:57] : d_in[56:49]; + assign _400_ = _017_[0] ? d_in[32:25] : d_in[24:17]; + assign _401_ = _017_[0] ? d_in[64:57] : d_in[56:49]; + assign _402_ = _019_[0] ? d_in[32:25] : d_in[24:17]; + assign _403_ = _019_[0] ? d_in[64:57] : d_in[56:49]; + assign _404_ = _021_[0] ? d_in[32:25] : d_in[24:17]; + assign _405_ = _021_[0] ? d_in[64:57] : d_in[56:49]; + assign _406_ = _023_[0] ? d_in[32:25] : d_in[24:17]; + assign _407_ = _023_[0] ? d_in[64:57] : d_in[56:49]; + assign _408_ = _025_[0] ? d_in[32:25] : d_in[24:17]; + assign _409_ = _025_[0] ? d_in[64:57] : d_in[56:49]; + assign _410_ = _118_[0] ? l_in[262:255] : l_in[254:247]; + assign _411_ = _118_[0] ? l_in[294:287] : l_in[286:279]; + assign _412_ = _120_[0] ? l_in[262:255] : l_in[254:247]; + assign _413_ = _120_[0] ? l_in[294:287] : l_in[286:279]; + assign _414_ = _122_[0] ? l_in[262:255] : l_in[254:247]; + assign _415_ = _122_[0] ? l_in[294:287] : l_in[286:279]; + assign _416_ = _124_[0] ? l_in[262:255] : l_in[254:247]; + assign _417_ = _124_[0] ? l_in[294:287] : l_in[286:279]; + assign _418_ = _126_[0] ? l_in[262:255] : l_in[254:247]; + assign _419_ = _126_[0] ? l_in[294:287] : l_in[286:279]; + assign _420_ = _128_[0] ? l_in[262:255] : l_in[254:247]; + assign _421_ = _128_[0] ? l_in[294:287] : l_in[286:279]; + assign _422_ = _130_[0] ? l_in[262:255] : l_in[254:247]; + assign _423_ = _130_[0] ? l_in[294:287] : l_in[286:279]; + assign _424_ = _132_[0] ? l_in[262:255] : l_in[254:247]; + assign _425_ = _132_[0] ? l_in[294:287] : l_in[286:279]; + assign _314_ = _011_[1] ? _394_ : _362_; + assign _315_ = _011_[1] ? _395_ : _363_; + assign _317_ = _013_[1] ? _396_ : _364_; + assign _318_ = _013_[1] ? _397_ : _365_; + assign _320_ = _015_[1] ? _398_ : _366_; + assign _321_ = _015_[1] ? _399_ : _367_; + assign _323_ = _017_[1] ? _400_ : _368_; + assign _324_ = _017_[1] ? _401_ : _369_; + assign _326_ = _019_[1] ? _402_ : _370_; + assign _327_ = _019_[1] ? _403_ : _371_; + assign _329_ = _021_[1] ? _404_ : _372_; + assign _330_ = _021_[1] ? _405_ : _373_; + assign _332_ = _023_[1] ? _406_ : _374_; + assign _333_ = _023_[1] ? _407_ : _375_; + assign _335_ = _025_[1] ? _408_ : _376_; + assign _336_ = _025_[1] ? _409_ : _377_; + assign _338_ = _118_[1] ? _410_ : _378_; + assign _339_ = _118_[1] ? _411_ : _379_; + assign _341_ = _120_[1] ? _412_ : _380_; + assign _342_ = _120_[1] ? _413_ : _381_; + assign _344_ = _122_[1] ? _414_ : _382_; + assign _345_ = _122_[1] ? _415_ : _383_; + assign _347_ = _124_[1] ? _416_ : _384_; + assign _348_ = _124_[1] ? _417_ : _385_; + assign _350_ = _126_[1] ? _418_ : _386_; + assign _351_ = _126_[1] ? _419_ : _387_; + assign _353_ = _128_[1] ? _420_ : _388_; + assign _354_ = _128_[1] ? _421_ : _389_; + assign _356_ = _130_[1] ? _422_ : _390_; + assign _357_ = _130_[1] ? _423_ : _391_; + assign _359_ = _132_[1] ? _424_ : _392_; + assign _360_ = _132_[1] ? _425_ : _393_; + assign _000_ = l_in[166:103] + l_in[230:167]; + assign lsu_sum = l_in[0] ? _000_ : 64'h0000000000000000; + assign _001_ = rst ? r[224:0] : { _313_[28:0], _206_, _133_, _289_ }; + assign _002_ = rst ? 3'h0 : _313_[31:29]; + assign _003_ = rst ? r[407:228] : { _312_[65:0], _311_, _313_[49:32] }; + assign _004_ = rst ? 1'h0 : _312_[66]; + assign _005_ = rst ? r[410:409] : _312_[68:67]; + assign _006_ = rst ? 1'h0 : _312_[69]; + assign _007_ = rst ? r[485:412] : { r[485:415], _312_[72:70] }; + always @(posedge clk) + r <= { _007_, _006_, _005_, _004_, _003_, _002_, _001_ }; + assign _008_ = r[205:203] - 3'h1; + assign _009_ = r[207] ? _008_ : 3'h0; + assign _010_ = 3'h0 ^ _009_; + assign _011_ = { 1'h0, _010_ } + { 1'h0, r[6:4] }; + assign _012_ = 3'h1 ^ _009_; + assign _013_ = { 1'h0, _012_ } + { 1'h0, r[6:4] }; + assign _014_ = 3'h2 ^ _009_; + assign _015_ = { 1'h0, _014_ } + { 1'h0, r[6:4] }; + assign _016_ = 3'h3 ^ _009_; + assign _017_ = { 1'h0, _016_ } + { 1'h0, r[6:4] }; + assign _018_ = 3'h4 ^ _009_; + assign _019_ = { 1'h0, _018_ } + { 1'h0, r[6:4] }; + assign _020_ = 3'h5 ^ _009_; + assign _021_ = { 1'h0, _020_ } + { 1'h0, r[6:4] }; + assign _022_ = 3'h6 ^ _009_; + assign _023_ = { 1'h0, _022_ } + { 1'h0, r[6:4] }; + assign _024_ = 3'h7 ^ _009_; + assign _025_ = { 1'h0, _024_ } + { 1'h0, r[6:4] }; + assign _026_ = r[228] & r[207]; + assign _027_ = r[206] & r[195]; + assign _028_ = r[205] & r[163]; + assign _029_ = _027_ | _028_; + assign _030_ = r[204] & r[147]; + assign _031_ = _029_ | _030_; + assign _032_ = r[203] & r[139]; + assign _033_ = _031_ | _032_; + assign _034_ = r[206] & _337_[7]; + assign _035_ = r[205] & _325_[7]; + assign _036_ = _034_ | _035_; + assign _037_ = r[204] & _319_[7]; + assign _038_ = _036_ | _037_; + assign _039_ = r[203] & _316_[7]; + assign _040_ = _038_ | _039_; + assign _041_ = _026_ ? _033_ : _040_; + assign _042_ = $signed(32'd0) < $signed({ 28'h0000000, r[206:203] }); + assign _043_ = ~ _011_[3]; + assign _044_ = r[228] ? { 1'h1, _043_ } : 2'h2; + assign _045_ = _041_ & r[208]; + assign _046_ = _042_ ? _044_ : { 1'h0, _045_ }; + assign _047_ = _046_ == 2'h3; + assign _048_ = _046_ == 2'h2; + assign _049_ = _046_ == 2'h1; + function [7:0] \14974 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \14974 = b[7:0]; + 3'b?1?: + \14974 = b[15:8]; + 3'b1??: + \14974 = b[23:16]; + default: + \14974 = a; + endcase + endfunction + assign _050_ = \14974 (8'h00, { 8'hff, _316_, r[139:132] }, { _049_, _048_, _047_ }); + assign _051_ = $signed(32'd1) < $signed({ 28'h0000000, r[206:203] }); + assign _052_ = ~ _013_[3]; + assign _053_ = r[228] ? { 1'h1, _052_ } : 2'h2; + assign _054_ = _041_ & r[208]; + assign _055_ = _051_ ? _053_ : { 1'h0, _054_ }; + assign _056_ = _055_ == 2'h3; + assign _057_ = _055_ == 2'h2; + assign _058_ = _055_ == 2'h1; + function [7:0] \15008 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15008 = b[7:0]; + 3'b?1?: + \15008 = b[15:8]; + 3'b1??: + \15008 = b[23:16]; + default: + \15008 = a; + endcase + endfunction + assign _059_ = \15008 (8'h00, { 8'hff, _319_, r[147:140] }, { _058_, _057_, _056_ }); + assign _060_ = $signed(32'd2) < $signed({ 28'h0000000, r[206:203] }); + assign _061_ = ~ _015_[3]; + assign _062_ = r[228] ? { 1'h1, _061_ } : 2'h2; + assign _063_ = _041_ & r[208]; + assign _064_ = _060_ ? _062_ : { 1'h0, _063_ }; + assign _065_ = _064_ == 2'h3; + assign _066_ = _064_ == 2'h2; + assign _067_ = _064_ == 2'h1; + function [7:0] \15042 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15042 = b[7:0]; + 3'b?1?: + \15042 = b[15:8]; + 3'b1??: + \15042 = b[23:16]; + default: + \15042 = a; + endcase + endfunction + assign _068_ = \15042 (8'h00, { 8'hff, _322_, r[155:148] }, { _067_, _066_, _065_ }); + assign _069_ = $signed(32'd3) < $signed({ 28'h0000000, r[206:203] }); + assign _070_ = ~ _017_[3]; + assign _071_ = r[228] ? { 1'h1, _070_ } : 2'h2; + assign _072_ = _041_ & r[208]; + assign _073_ = _069_ ? _071_ : { 1'h0, _072_ }; + assign _074_ = _073_ == 2'h3; + assign _075_ = _073_ == 2'h2; + assign _076_ = _073_ == 2'h1; + function [7:0] \15076 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15076 = b[7:0]; + 3'b?1?: + \15076 = b[15:8]; + 3'b1??: + \15076 = b[23:16]; + default: + \15076 = a; + endcase + endfunction + assign _077_ = \15076 (8'h00, { 8'hff, _325_, r[163:156] }, { _076_, _075_, _074_ }); + assign _078_ = $signed(32'd4) < $signed({ 28'h0000000, r[206:203] }); + assign _079_ = ~ _019_[3]; + assign _080_ = r[228] ? { 1'h1, _079_ } : 2'h2; + assign _081_ = _041_ & r[208]; + assign _082_ = _078_ ? _080_ : { 1'h0, _081_ }; + assign _083_ = _082_ == 2'h3; + assign _084_ = _082_ == 2'h2; + assign _085_ = _082_ == 2'h1; + function [7:0] \15110 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15110 = b[7:0]; + 3'b?1?: + \15110 = b[15:8]; + 3'b1??: + \15110 = b[23:16]; + default: + \15110 = a; + endcase + endfunction + assign _086_ = \15110 (8'h00, { 8'hff, _328_, r[171:164] }, { _085_, _084_, _083_ }); + assign _087_ = $signed(32'd5) < $signed({ 28'h0000000, r[206:203] }); + assign _088_ = ~ _021_[3]; + assign _089_ = r[228] ? { 1'h1, _088_ } : 2'h2; + assign _090_ = _041_ & r[208]; + assign _091_ = _087_ ? _089_ : { 1'h0, _090_ }; + assign _092_ = _091_ == 2'h3; + assign _093_ = _091_ == 2'h2; + assign _094_ = _091_ == 2'h1; + function [7:0] \15144 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15144 = b[7:0]; + 3'b?1?: + \15144 = b[15:8]; + 3'b1??: + \15144 = b[23:16]; + default: + \15144 = a; + endcase + endfunction + assign _095_ = \15144 (8'h00, { 8'hff, _331_, r[179:172] }, { _094_, _093_, _092_ }); + assign _096_ = $signed(32'd6) < $signed({ 28'h0000000, r[206:203] }); + assign _097_ = ~ _023_[3]; + assign _098_ = r[228] ? { 1'h1, _097_ } : 2'h2; + assign _099_ = _041_ & r[208]; + assign _100_ = _096_ ? _098_ : { 1'h0, _099_ }; + assign _101_ = _100_ == 2'h3; + assign _102_ = _100_ == 2'h2; + assign _103_ = _100_ == 2'h1; + function [7:0] \15178 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15178 = b[7:0]; + 3'b?1?: + \15178 = b[15:8]; + 3'b1??: + \15178 = b[23:16]; + default: + \15178 = a; + endcase + endfunction + assign _104_ = \15178 (8'h00, { 8'hff, _334_, r[187:180] }, { _103_, _102_, _101_ }); + assign _105_ = $signed(32'd7) < $signed({ 28'h0000000, r[206:203] }); + assign _106_ = ~ _025_[3]; + assign _107_ = r[228] ? { 1'h1, _106_ } : 2'h2; + assign _108_ = _041_ & r[208]; + assign _109_ = _105_ ? _107_ : { 1'h0, _108_ }; + assign _110_ = _109_ == 2'h3; + assign _111_ = _109_ == 2'h2; + assign _112_ = _109_ == 2'h1; + function [7:0] \15211 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \15211 = b[7:0]; + 3'b?1?: + \15211 = b[15:8]; + 3'b1??: + \15211 = b[23:16]; + default: + \15211 = a; + endcase + endfunction + assign _113_ = \15211 (8'h00, { 8'hff, _337_, r[195:188] }, { _112_, _111_, _110_ }); + assign _114_ = l_in[0] | 1'h0; + assign _115_ = l_in[304:302] - 3'h1; + assign _116_ = l_in[307] ? _115_ : 3'h0; + assign _117_ = 3'h0 - lsu_sum[2:0]; + assign _118_ = _117_ ^ _116_; + assign _119_ = 3'h1 - lsu_sum[2:0]; + assign _120_ = _119_ ^ _116_; + assign _121_ = 3'h2 - lsu_sum[2:0]; + assign _122_ = _121_ ^ _116_; + assign _123_ = 3'h3 - lsu_sum[2:0]; + assign _124_ = _123_ ^ _116_; + assign _125_ = 3'h4 - lsu_sum[2:0]; + assign _126_ = _125_ ^ _116_; + assign _127_ = 3'h5 - lsu_sum[2:0]; + assign _128_ = _127_ ^ _116_; + assign _129_ = 3'h6 - lsu_sum[2:0]; + assign _130_ = _129_ ^ _116_; + assign _131_ = 3'h7 - lsu_sum[2:0]; + assign _132_ = _131_ ^ _116_; + assign _133_ = _114_ ? { _361_, _358_, _355_, _352_, _349_, _346_, _343_, _340_ } : r[131:68]; + assign _134_ = r[67:7] + 61'h0000000000000001; + assign _135_ = r[409] & d_in[0]; + assign _136_ = r[410] & m_in[0]; + assign _137_ = _135_ | _136_; + assign _138_ = ~ _137_; + assign _139_ = r[408] & _138_; + assign _140_ = r[227:225] != 3'h0; + assign _141_ = ~ _139_; + assign _142_ = _140_ & _141_; + assign _143_ = _142_ ? 1'h1 : 1'h0; + assign _144_ = r[227:225] == 3'h2; + assign _145_ = r[228] | _144_; + assign _146_ = _145_ ? r[245:238] : r[237:230]; + assign _147_ = _145_ ? _134_[60:29] : r[67:36]; + assign _148_ = r[413] ? 32'd0 : _147_; + assign _149_ = _145_ ? { _134_[28:0], 3'h0 } : r[35:4]; + assign _150_ = r[227:225] == 3'h0; + assign _151_ = r[245:238] != 8'h00; + assign _152_ = _151_ ? 3'h2 : 3'h3; + assign _153_ = r[227:225] == 3'h1; + assign _154_ = r[227:225] == 3'h2; + assign _155_ = ~ r[0]; + assign _156_ = d_in[67] ? r[227:225] : 3'h4; + assign _157_ = d_in[67] ? 1'h1 : 1'h0; + assign _158_ = d_in[67] ? 1'h0 : 1'h1; + assign _159_ = d_in[67] ? _155_ : 1'h0; + assign _160_ = d_in[67] ? d_in[67] : 1'h0; + assign _161_ = d_in[66] ? _156_ : r[227:225]; + assign _162_ = d_in[66] ? _157_ : 1'h0; + assign _163_ = d_in[66] ? _158_ : 1'h0; + assign _164_ = d_in[66] ? _159_ : 1'h0; + assign _165_ = d_in[66] ? _160_ : 1'h0; + assign _166_ = ~ r[229]; + assign _167_ = _180_ ? { _337_, _334_, _331_, _328_, _325_, _322_, _319_, _316_ } : r[195:132]; + assign _168_ = ~ r[414]; + assign _169_ = r[0] & _168_; + assign _170_ = r[412] ? 3'h7 : _161_; + assign _171_ = r[412] ? r[209] : 1'h0; + assign _172_ = r[412] ? r[411] : r[209]; + assign _173_ = _166_ & r[0]; + assign _174_ = _166_ ? _161_ : _170_; + assign _175_ = _166_ ? 2'h3 : r[229:228]; + assign _176_ = _166_ ? _139_ : 1'h0; + assign _177_ = _166_ ? 1'h0 : _171_; + assign _178_ = _166_ ? 1'h0 : _169_; + assign _179_ = _166_ ? r[411] : _172_; + assign _180_ = d_in[0] & _173_; + assign _181_ = d_in[0] ? { _175_, _174_ } : { r[229:228], _161_ }; + assign _182_ = d_in[0] ? _176_ : _139_; + assign _183_ = d_in[0] ? _177_ : 1'h0; + assign _184_ = d_in[0] ? _178_ : 1'h0; + assign _185_ = d_in[0] ? _179_ : r[411]; + assign _186_ = ~ r[412]; + assign _187_ = r[229] & _186_; + assign _188_ = r[227:225] == 3'h3; + assign _189_ = ~ r[342]; + assign _190_ = ~ r[229]; + assign _191_ = _190_ ? 3'h2 : 3'h3; + assign _192_ = _194_ ? _191_ : r[227:225]; + assign _193_ = _189_ ? 1'h1 : 1'h0; + assign _194_ = m_in[0] & _189_; + assign _195_ = m_in[0] ? _193_ : 1'h0; + assign _196_ = ~ r[0]; + assign _197_ = m_in[1] ? 1'h1 : 1'h0; + assign _198_ = m_in[1] ? { m_in[3], m_in[6] } : 2'h0; + assign _199_ = m_in[1] ? _196_ : 1'h0; + assign _200_ = m_in[1] ? m_in[5] : 1'h0; + assign _201_ = m_in[1] ? m_in[2] : 1'h0; + assign _202_ = r[227:225] == 3'h4; + assign _203_ = r[227:225] == 3'h5; + assign _204_ = r[227:225] == 3'h6; + assign _205_ = r[227:225] == 3'h7; + function [63:0] \15511 ; + input [63:0] a; + input [511:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15511 = b[63:0]; + 8'b??????1?: + \15511 = b[127:64]; + 8'b?????1??: + \15511 = b[191:128]; + 8'b????1???: + \15511 = b[255:192]; + 8'b???1????: + \15511 = b[319:256]; + 8'b??1?????: + \15511 = b[383:320]; + 8'b?1??????: + \15511 = b[447:384]; + 8'b1???????: + \15511 = b[511:448]; + default: + \15511 = a; + endcase + endfunction + assign _206_ = \15511 (64'hxxxxxxxxxxxxxxxx, { r[195:132], r[195:132], r[195:132], r[195:132], _167_, r[195:132], r[195:132], r[195:132] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [2:0] \15515 ; + input [2:0] a; + input [23:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15515 = b[2:0]; + 8'b??????1?: + \15515 = b[5:3]; + 8'b?????1??: + \15515 = b[8:6]; + 8'b????1???: + \15515 = b[11:9]; + 8'b???1????: + \15515 = b[14:12]; + 8'b??1?????: + \15515 = b[17:15]; + 8'b?1??????: + \15515 = b[20:18]; + 8'b1???????: + \15515 = b[23:21]; + default: + \15515 = a; + endcase + endfunction + assign _207_ = \15515 (3'hx, { r[227:225], r[227:225], r[227:225], _192_, _181_[2:0], 3'h3, _152_, r[227:225] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15519 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15519 = b[0:0]; + 8'b??????1?: + \15519 = b[1:1]; + 8'b?????1??: + \15519 = b[2:2]; + 8'b????1???: + \15519 = b[3:3]; + 8'b???1????: + \15519 = b[4:4]; + 8'b??1?????: + \15519 = b[5:5]; + 8'b?1??????: + \15519 = b[6:6]; + 8'b1???????: + \15519 = b[7:7]; + default: + \15519 = a; + endcase + endfunction + assign _208_ = \15519 (1'hx, { r[228], r[228], r[228], r[228], _181_[3], r[228], r[228], r[228] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15523 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15523 = b[0:0]; + 8'b??????1?: + \15523 = b[1:1]; + 8'b?????1??: + \15523 = b[2:2]; + 8'b????1???: + \15523 = b[3:3]; + 8'b???1????: + \15523 = b[4:4]; + 8'b??1?????: + \15523 = b[5:5]; + 8'b?1??????: + \15523 = b[6:6]; + 8'b1???????: + \15523 = b[7:7]; + default: + \15523 = a; + endcase + endfunction + assign _209_ = \15523 (1'hx, { r[229], r[229], r[229], r[229], _181_[4], 1'h0, r[229], r[229] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15525 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15525 = b[0:0]; + 8'b??????1?: + \15525 = b[1:1]; + 8'b?????1??: + \15525 = b[2:2]; + 8'b????1???: + \15525 = b[3:3]; + 8'b???1????: + \15525 = b[4:4]; + 8'b??1?????: + \15525 = b[5:5]; + 8'b?1??????: + \15525 = b[6:6]; + 8'b1???????: + \15525 = b[7:7]; + default: + \15525 = a; + endcase + endfunction + assign _210_ = \15525 (1'hx, { _139_, _139_, _139_, _139_, _182_, _139_, _139_, _139_ }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15528 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15528 = b[0:0]; + 8'b??????1?: + \15528 = b[1:1]; + 8'b?????1??: + \15528 = b[2:2]; + 8'b????1???: + \15528 = b[3:3]; + 8'b???1????: + \15528 = b[4:4]; + 8'b??1?????: + \15528 = b[5:5]; + 8'b?1??????: + \15528 = b[6:6]; + 8'b1???????: + \15528 = b[7:7]; + default: + \15528 = a; + endcase + endfunction + assign _211_ = \15528 (1'hx, { r[409], r[409], r[409], r[409], _187_, r[409], r[409], r[409] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15530 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15530 = b[0:0]; + 8'b??????1?: + \15530 = b[1:1]; + 8'b?????1??: + \15530 = b[2:2]; + 8'b????1???: + \15530 = b[3:3]; + 8'b???1????: + \15530 = b[4:4]; + 8'b??1?????: + \15530 = b[5:5]; + 8'b?1??????: + \15530 = b[6:6]; + 8'b1???????: + \15530 = b[7:7]; + default: + \15530 = a; + endcase + endfunction + assign _212_ = \15530 (1'hx, { 4'h0, _183_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15541 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15541 = b[0:0]; + 8'b??????1?: + \15541 = b[1:1]; + 8'b?????1??: + \15541 = b[2:2]; + 8'b????1???: + \15541 = b[3:3]; + 8'b???1????: + \15541 = b[4:4]; + 8'b??1?????: + \15541 = b[5:5]; + 8'b?1??????: + \15541 = b[6:6]; + 8'b1???????: + \15541 = b[7:7]; + default: + \15541 = a; + endcase + endfunction + assign _213_ = \15541 (1'hx, { 3'h0, _195_, 4'h6 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15545 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15545 = b[0:0]; + 8'b??????1?: + \15545 = b[1:1]; + 8'b?????1??: + \15545 = b[2:2]; + 8'b????1???: + \15545 = b[3:3]; + 8'b???1????: + \15545 = b[4:4]; + 8'b??1?????: + \15545 = b[5:5]; + 8'b?1??????: + \15545 = b[6:6]; + 8'b1???????: + \15545 = b[7:7]; + default: + \15545 = a; + endcase + endfunction + assign _214_ = \15545 (1'hx, { 4'h0, _184_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15548 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15548 = b[0:0]; + 8'b??????1?: + \15548 = b[1:1]; + 8'b?????1??: + \15548 = b[2:2]; + 8'b????1???: + \15548 = b[3:3]; + 8'b???1????: + \15548 = b[4:4]; + 8'b??1?????: + \15548 = b[5:5]; + 8'b?1??????: + \15548 = b[6:6]; + 8'b1???????: + \15548 = b[7:7]; + default: + \15548 = a; + endcase + endfunction + assign _215_ = \15548 (1'hx, { r[411], r[411], r[411], r[411], _185_, r[411], r[411], r[411] }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15551 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15551 = b[0:0]; + 8'b??????1?: + \15551 = b[1:1]; + 8'b?????1??: + \15551 = b[2:2]; + 8'b????1???: + \15551 = b[3:3]; + 8'b???1????: + \15551 = b[4:4]; + 8'b??1?????: + \15551 = b[5:5]; + 8'b?1??????: + \15551 = b[6:6]; + 8'b1???????: + \15551 = b[7:7]; + default: + \15551 = a; + endcase + endfunction + assign _216_ = \15551 (1'hx, { r[343], 2'h0, _197_, _162_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15555 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15555 = b[0:0]; + 8'b??????1?: + \15555 = b[1:1]; + 8'b?????1??: + \15555 = b[2:2]; + 8'b????1???: + \15555 = b[3:3]; + 8'b???1????: + \15555 = b[4:4]; + 8'b??1?????: + \15555 = b[5:5]; + 8'b?1??????: + \15555 = b[6:6]; + 8'b1???????: + \15555 = b[7:7]; + default: + \15555 = a; + endcase + endfunction + assign _217_ = \15555 (1'hx, { 4'h0, _163_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [1:0] \15559 ; + input [1:0] a; + input [15:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15559 = b[1:0]; + 8'b??????1?: + \15559 = b[3:2]; + 8'b?????1??: + \15559 = b[5:4]; + 8'b????1???: + \15559 = b[7:6]; + 8'b???1????: + \15559 = b[9:8]; + 8'b??1?????: + \15559 = b[11:10]; + 8'b?1??????: + \15559 = b[13:12]; + 8'b1???????: + \15559 = b[15:14]; + default: + \15559 = a; + endcase + endfunction + assign _218_ = \15559 (2'hx, { 6'h00, _198_, 8'h00 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15562 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15562 = b[0:0]; + 8'b??????1?: + \15562 = b[1:1]; + 8'b?????1??: + \15562 = b[2:2]; + 8'b????1???: + \15562 = b[3:3]; + 8'b???1????: + \15562 = b[4:4]; + 8'b??1?????: + \15562 = b[5:5]; + 8'b?1??????: + \15562 = b[6:6]; + 8'b1???????: + \15562 = b[7:7]; + default: + \15562 = a; + endcase + endfunction + assign _219_ = \15562 (1'hx, { 3'h0, _199_, _164_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15565 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15565 = b[0:0]; + 8'b??????1?: + \15565 = b[1:1]; + 8'b?????1??: + \15565 = b[2:2]; + 8'b????1???: + \15565 = b[3:3]; + 8'b???1????: + \15565 = b[4:4]; + 8'b??1?????: + \15565 = b[5:5]; + 8'b?1??????: + \15565 = b[6:6]; + 8'b1???????: + \15565 = b[7:7]; + default: + \15565 = a; + endcase + endfunction + assign _220_ = \15565 (1'hx, { 3'h0, _200_, 4'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15568 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15568 = b[0:0]; + 8'b??????1?: + \15568 = b[1:1]; + 8'b?????1??: + \15568 = b[2:2]; + 8'b????1???: + \15568 = b[3:3]; + 8'b???1????: + \15568 = b[4:4]; + 8'b??1?????: + \15568 = b[5:5]; + 8'b?1??????: + \15568 = b[6:6]; + 8'b1???????: + \15568 = b[7:7]; + default: + \15568 = a; + endcase + endfunction + assign _221_ = \15568 (1'hx, { 4'h0, _165_, 3'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15571 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15571 = b[0:0]; + 8'b??????1?: + \15571 = b[1:1]; + 8'b?????1??: + \15571 = b[2:2]; + 8'b????1???: + \15571 = b[3:3]; + 8'b???1????: + \15571 = b[4:4]; + 8'b??1?????: + \15571 = b[5:5]; + 8'b?1??????: + \15571 = b[6:6]; + 8'b1???????: + \15571 = b[7:7]; + default: + \15571 = a; + endcase + endfunction + assign _222_ = \15571 (1'hx, { 3'h0, _201_, 4'h0 }, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + function [0:0] \15585 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \15585 = b[0:0]; + 8'b??????1?: + \15585 = b[1:1]; + 8'b?????1??: + \15585 = b[2:2]; + 8'b????1???: + \15585 = b[3:3]; + 8'b???1????: + \15585 = b[4:4]; + 8'b??1?????: + \15585 = b[5:5]; + 8'b?1??????: + \15585 = b[6:6]; + 8'b1???????: + \15585 = b[7:7]; + default: + \15585 = a; + endcase + endfunction + assign _223_ = \15585 (1'hx, 8'h40, { _205_, _204_, _203_, _202_, _188_, _154_, _153_, _150_ }); + assign _224_ = _143_ | _216_; + assign _225_ = _224_ ? 3'h0 : _207_; + assign _226_ = _224_ ? 1'h0 : _210_; + assign _227_ = l_in[324] ? 32'd0 : lsu_sum[63:32]; + assign _228_ = lsu_sum[31:28] == 4'hc; + assign _229_ = ~ l_in[322]; + assign _230_ = _228_ & _229_; + assign _231_ = _230_ ? 1'h1 : l_in[306]; + assign _232_ = l_in[305:302] == 4'h1; + assign _233_ = l_in[305:302] == 4'h2; + assign _234_ = l_in[305:302] == 4'h4; + assign _235_ = l_in[305:302] == 4'h8; + function [7:0] \15663 ; + input [7:0] a; + input [31:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \15663 = b[7:0]; + 4'b??1?: + \15663 = b[15:8]; + 4'b?1??: + \15663 = b[23:16]; + 4'b1???: + \15663 = b[31:24]; + default: + \15663 = a; + endcase + endfunction + assign _236_ = \15663 (8'h00, 32'd4279173889, { _235_, _234_, _233_, _232_ }); + assign _237_ = { 8'h00, _236_ } << { 28'h0000000, lsu_sum[2:0] }; + assign _238_ = l_in[304:302] - 3'h1; + assign _239_ = _238_ & lsu_sum[2:0]; + assign _240_ = | _239_; + assign _241_ = l_in[320] & _240_; + assign _242_ = l_in[6:1] == 6'h20; + assign _243_ = l_in[6:1] == 6'h1f; + assign _244_ = l_in[6:1] == 6'h14; + assign _245_ = l_in[6:1] == 6'h22; + assign _246_ = l_in[6:1] == 6'h21; + assign _247_ = l_in[6:1] == 6'h3a; + assign _248_ = ~ l_in[86]; + assign _249_ = ~ l_in[82]; + assign _250_ = _248_ & _249_; + assign _251_ = ~ l_in[87]; + assign _252_ = _251_ ? { 32'h00000000, r[341:310] } : r[309:246]; + assign _253_ = _250_ ? _252_ : m_in[70:7]; + assign _254_ = l_in[6:1] == 6'h26; + assign _255_ = ~ l_in[86]; + assign _256_ = ~ l_in[82]; + assign _257_ = _255_ & _256_; + assign _258_ = ~ l_in[87]; + assign _259_ = _258_ ? r[309:246] : l_in[294:231]; + assign _260_ = _258_ ? l_in[262:231] : r[341:310]; + assign _261_ = _257_ ? 3'h7 : 3'h5; + assign _262_ = _257_ ? { _260_, _259_ } : r[341:246]; + assign _263_ = _257_ ? 1'h0 : 1'h1; + assign _264_ = _257_ ? 1'h0 : 1'h1; + assign _265_ = l_in[6:1] == 6'h2a; + assign _266_ = l_in[6:1] == 6'h3f; + function [0:0] \15755 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15755 = b[0:0]; + 9'b???????1?: + \15755 = b[1:1]; + 9'b??????1??: + \15755 = b[2:2]; + 9'b?????1???: + \15755 = b[3:3]; + 9'b????1????: + \15755 = b[4:4]; + 9'b???1?????: + \15755 = b[5:5]; + 9'b??1??????: + \15755 = b[6:6]; + 9'b?1???????: + \15755 = b[7:7]; + 9'b1????????: + \15755 = b[8:8]; + default: + \15755 = a; + endcase + endfunction + assign _267_ = \15755 (1'h0, 9'h002, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15756 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15756 = b[0:0]; + 9'b???????1?: + \15756 = b[1:1]; + 9'b??????1??: + \15756 = b[2:2]; + 9'b?????1???: + \15756 = b[3:3]; + 9'b????1????: + \15756 = b[4:4]; + 9'b???1?????: + \15756 = b[5:5]; + 9'b??1??????: + \15756 = b[6:6]; + 9'b?1???????: + \15756 = b[7:7]; + 9'b1????????: + \15756 = b[8:8]; + default: + \15756 = a; + endcase + endfunction + assign _268_ = \15756 (1'h0, 9'h020, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15757 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15757 = b[0:0]; + 9'b???????1?: + \15757 = b[1:1]; + 9'b??????1??: + \15757 = b[2:2]; + 9'b?????1???: + \15757 = b[3:3]; + 9'b????1????: + \15757 = b[4:4]; + 9'b???1?????: + \15757 = b[5:5]; + 9'b??1??????: + \15757 = b[6:6]; + 9'b?1???????: + \15757 = b[7:7]; + 9'b1????????: + \15757 = b[8:8]; + default: + \15757 = a; + endcase + endfunction + assign _269_ = \15757 (1'h0, 9'h004, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15758 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15758 = b[0:0]; + 9'b???????1?: + \15758 = b[1:1]; + 9'b??????1??: + \15758 = b[2:2]; + 9'b?????1???: + \15758 = b[3:3]; + 9'b????1????: + \15758 = b[4:4]; + 9'b???1?????: + \15758 = b[5:5]; + 9'b??1??????: + \15758 = b[6:6]; + 9'b?1???????: + \15758 = b[7:7]; + 9'b1????????: + \15758 = b[8:8]; + default: + \15758 = a; + endcase + endfunction + assign _270_ = \15758 (1'h0, 9'h040, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [2:0] \15759 ; + input [2:0] a; + input [26:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15759 = b[2:0]; + 9'b???????1?: + \15759 = b[5:3]; + 9'b??????1??: + \15759 = b[8:6]; + 9'b?????1???: + \15759 = b[11:9]; + 9'b????1????: + \15759 = b[14:12]; + 9'b???1?????: + \15759 = b[17:15]; + 9'b??1??????: + \15759 = b[20:18]; + 9'b?1???????: + \15759 = b[23:21]; + 9'b1????????: + \15759 = b[26:24]; + default: + \15759 = a; + endcase + endfunction + assign _271_ = \15759 (_225_, { 3'h4, _261_, 6'h3d, _225_, _225_, _225_, _225_, _225_ }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [95:0] \15761 ; + input [95:0] a; + input [863:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15761 = b[95:0]; + 9'b???????1?: + \15761 = b[191:96]; + 9'b??????1??: + \15761 = b[287:192]; + 9'b?????1???: + \15761 = b[383:288]; + 9'b????1????: + \15761 = b[479:384]; + 9'b???1?????: + \15761 = b[575:480]; + 9'b??1??????: + \15761 = b[671:576]; + 9'b?1???????: + \15761 = b[767:672]; + 9'b1????????: + \15761 = b[863:768]; + default: + \15761 = a; + endcase + endfunction + assign _272_ = \15761 (r[341:246], { r[341:246], _262_, r[341:246], r[341:246], r[341:246], r[341:246], r[341:246], r[341:246], r[341:246] }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15762 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15762 = b[0:0]; + 9'b???????1?: + \15762 = b[1:1]; + 9'b??????1??: + \15762 = b[2:2]; + 9'b?????1???: + \15762 = b[3:3]; + 9'b????1????: + \15762 = b[4:4]; + 9'b???1?????: + \15762 = b[5:5]; + 9'b??1??????: + \15762 = b[6:6]; + 9'b?1???????: + \15762 = b[7:7]; + 9'b1????????: + \15762 = b[8:8]; + default: + \15762 = a; + endcase + endfunction + assign _273_ = \15762 (1'h0, 9'h100, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15763 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15763 = b[0:0]; + 9'b???????1?: + \15763 = b[1:1]; + 9'b??????1??: + \15763 = b[2:2]; + 9'b?????1???: + \15763 = b[3:3]; + 9'b????1????: + \15763 = b[4:4]; + 9'b???1?????: + \15763 = b[5:5]; + 9'b??1??????: + \15763 = b[6:6]; + 9'b?1???????: + \15763 = b[7:7]; + 9'b1????????: + \15763 = b[8:8]; + default: + \15763 = a; + endcase + endfunction + assign _274_ = \15763 (_241_, { _241_, _241_, _241_, _241_, _241_, _241_, _231_, _241_, _241_ }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [63:0] \15765 ; + input [63:0] a; + input [575:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15765 = b[63:0]; + 9'b???????1?: + \15765 = b[127:64]; + 9'b??????1??: + \15765 = b[191:128]; + 9'b?????1???: + \15765 = b[255:192]; + 9'b????1????: + \15765 = b[319:256]; + 9'b???1?????: + \15765 = b[383:320]; + 9'b??1??????: + \15765 = b[447:384]; + 9'b?1???????: + \15765 = b[511:448]; + 9'b1????????: + \15765 = b[575:512]; + default: + \15765 = a; + endcase + endfunction + assign _275_ = \15765 (r[407:344], { r[407:344], r[407:344], _253_, r[407:344], r[407:344], r[407:344], r[407:344], r[407:344], r[407:344] }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15766 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15766 = b[0:0]; + 9'b???????1?: + \15766 = b[1:1]; + 9'b??????1??: + \15766 = b[2:2]; + 9'b?????1???: + \15766 = b[3:3]; + 9'b????1????: + \15766 = b[4:4]; + 9'b???1?????: + \15766 = b[5:5]; + 9'b??1??????: + \15766 = b[6:6]; + 9'b?1???????: + \15766 = b[7:7]; + 9'b1????????: + \15766 = b[8:8]; + default: + \15766 = a; + endcase + endfunction + assign _276_ = \15766 (1'h0, { 1'h1, _263_, 7'h20 }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15767 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15767 = b[0:0]; + 9'b???????1?: + \15767 = b[1:1]; + 9'b??????1??: + \15767 = b[2:2]; + 9'b?????1???: + \15767 = b[3:3]; + 9'b????1????: + \15767 = b[4:4]; + 9'b???1?????: + \15767 = b[5:5]; + 9'b??1??????: + \15767 = b[6:6]; + 9'b?1???????: + \15767 = b[7:7]; + 9'b1????????: + \15767 = b[8:8]; + default: + \15767 = a; + endcase + endfunction + assign _277_ = \15767 (1'h0, { 7'h00, l_in[309], 1'h0 }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15771 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15771 = b[0:0]; + 9'b???????1?: + \15771 = b[1:1]; + 9'b??????1??: + \15771 = b[2:2]; + 9'b?????1???: + \15771 = b[3:3]; + 9'b????1????: + \15771 = b[4:4]; + 9'b???1?????: + \15771 = b[5:5]; + 9'b??1??????: + \15771 = b[6:6]; + 9'b?1???????: + \15771 = b[7:7]; + 9'b1????????: + \15771 = b[8:8]; + default: + \15771 = a; + endcase + endfunction + assign _278_ = \15771 (_213_, { _213_, _213_, _213_, _213_, _213_, _213_, 3'h7 }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [63:0] \15772 ; + input [63:0] a; + input [575:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15772 = b[63:0]; + 9'b???????1?: + \15772 = b[127:64]; + 9'b??????1??: + \15772 = b[191:128]; + 9'b?????1???: + \15772 = b[255:192]; + 9'b????1????: + \15772 = b[319:256]; + 9'b???1?????: + \15772 = b[383:320]; + 9'b??1??????: + \15772 = b[447:384]; + 9'b?1???????: + \15772 = b[511:448]; + 9'b1????????: + \15772 = b[575:512]; + default: + \15772 = a; + endcase + endfunction + assign _279_ = \15772 (l_in[230:167], { l_in[70:7], l_in[230:167], l_in[230:167], l_in[230:167], l_in[230:167], l_in[230:167], l_in[230:167], l_in[230:167], l_in[230:167] }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15775 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15775 = b[0:0]; + 9'b???????1?: + \15775 = b[1:1]; + 9'b??????1??: + \15775 = b[2:2]; + 9'b?????1???: + \15775 = b[3:3]; + 9'b????1????: + \15775 = b[4:4]; + 9'b???1?????: + \15775 = b[5:5]; + 9'b??1??????: + \15775 = b[6:6]; + 9'b?1???????: + \15775 = b[7:7]; + 9'b1????????: + \15775 = b[8:8]; + default: + \15775 = a; + endcase + endfunction + assign _280_ = \15775 (_217_, { 1'h1, _217_, _217_, 1'h1, _217_, _217_, _217_, _217_, _217_ }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + function [0:0] \15777 ; + input [0:0] a; + input [8:0] b; + input [8:0] s; + (* parallel_case *) + casez (s) + 9'b????????1: + \15777 = b[0:0]; + 9'b???????1?: + \15777 = b[1:1]; + 9'b??????1??: + \15777 = b[2:2]; + 9'b?????1???: + \15777 = b[3:3]; + 9'b????1????: + \15777 = b[4:4]; + 9'b???1?????: + \15777 = b[5:5]; + 9'b??1??????: + \15777 = b[6:6]; + 9'b?1???????: + \15777 = b[7:7]; + 9'b1????????: + \15777 = b[8:8]; + default: + \15777 = a; + endcase + endfunction + assign _281_ = \15777 (1'h0, { 1'h0, _264_, 7'h00 }, { _266_, _265_, _254_, _247_, _246_, _245_, _244_, _243_, _242_ }); + assign _282_ = _237_[15:8] == 8'h00; + assign _283_ = _282_ ? 3'h3 : 3'h2; + assign _284_ = _274_ ? 3'h7 : _283_; + assign _285_ = _278_ ? _284_ : _271_; + assign _286_ = _278_ | _280_; + assign _287_ = _286_ | _281_; + assign _288_ = _287_ | 1'h0; + assign _289_ = l_in[0] ? { lsu_sum, _270_, _269_, _268_, _267_ } : { r[67:4], 1'h0, r[2:0] }; + assign _290_ = l_in[0] ? { 1'h0, l_in[324], _277_, 1'h0, _276_, 1'h0, _288_, _275_, _274_, _273_, _272_, _237_, 2'h2, _285_, l_in[323:322], _231_, l_in[321:307], l_in[305:295] } : { r[414:412], _212_, r[410], _211_, _226_, r[407:230], _209_, _208_, _225_, r[224:196] }; + assign _291_ = l_in[0] ? _237_[7:0] : _146_; + assign _292_ = l_in[0] ? _278_ : _213_; + assign _293_ = l_in[0] ? { _227_, lsu_sum[31:0] } : { _148_, _149_ }; + assign _294_ = l_in[0] ? _279_ : { _148_, _149_ }; + assign _295_ = l_in[0] ? _280_ : _217_; + assign _296_ = l_in[0] ? _281_ : 1'h0; + assign _297_ = ~ _290_[147]; + assign _298_ = _292_ & _297_; + assign _299_ = _223_ ? { 64'hxxxxxxxxxxxxxxxx, r[202:196], 1'h1 } : { _113_, _104_, _095_, _086_, _077_, _068_, _059_, _050_, r[202:196], _214_ }; + assign _300_ = _215_ ? { r[67:4], 2'h0, r[214:210], 1'h1 } : _299_; + assign _301_ = r[3] ? { r[407:344], r[202:196], 1'h1 } : _300_; + assign _302_ = r[221] & _143_; + assign _303_ = ~ r[342]; + assign _304_ = _216_ & _303_; + assign _305_ = ~ m_in[4]; + assign _306_ = ~ r[343]; + assign _307_ = _305_ & _306_; + assign _308_ = l_in[0] ? _272_[95:64] : r[341:310]; + assign _309_ = _307_ ? { 1'h0, _222_, 1'h0, _221_, _220_, 1'h0, _219_, 5'h00, _218_, 18'h00000 } : _308_; + assign _310_ = l_in[0] ? _272_ : r[341:246]; + assign _311_ = _304_ ? { _309_, _293_ } : _310_; + assign _312_ = l_in[0] ? { 1'h0, l_in[324], _277_, 1'h0, _276_, 1'h0, _288_, _275_, _274_, _273_ } : { r[414:412], _212_, r[410], _211_, _226_, r[407:342] }; + assign _313_ = l_in[0] ? { _237_, 2'h2, _285_, l_in[323:322], _231_, l_in[321:307], l_in[305:295] } : { r[245:230], _209_, _208_, _225_, r[224:196] }; + assign _316_ = _011_[2] ? _315_ : _314_; + assign _319_ = _013_[2] ? _318_ : _317_; + assign _322_ = _015_[2] ? _321_ : _320_; + assign _325_ = _017_[2] ? _324_ : _323_; + assign _328_ = _019_[2] ? _327_ : _326_; + assign _331_ = _021_[2] ? _330_ : _329_; + assign _334_ = _023_[2] ? _333_ : _332_; + assign _337_ = _025_[2] ? _336_ : _335_; + assign _340_ = _118_[2] ? _339_ : _338_; + assign _343_ = _120_[2] ? _342_ : _341_; + assign _346_ = _122_[2] ? _345_ : _344_; + assign _349_ = _124_[2] ? _348_ : _347_; + assign _352_ = _126_[2] ? _351_ : _350_; + assign _355_ = _128_[2] ? _354_ : _353_; + assign _358_ = _130_[2] ? _357_ : _356_; + assign _361_ = _132_[2] ? _360_ : _359_; + assign e_out = { r[342], m_in[4:3], m_in[6:5], m_in[2], r[343], _216_, _139_ }; + assign l_out = { d_in[65], _302_, r[219:215], _301_, _143_ }; + assign d_out = { _291_, _133_, _293_, _290_[28:27], _290_[24], _290_[26], _289_[2], _289_[0], _298_ }; + assign m_out = { l_in[294:231], _294_, l_in[86:82], l_in[91:87], r[224], r[0], _290_[146], _296_, l_in[78], _289_[1], _295_ }; + assign log_out = 10'hzzz; +endmodule + +module logic_analyzer_32_32(clk, rst, wb_in, io_in, wb_out, io_out); + wire _00_; + wire _01_; + wire _02_; + wire [31:0] _03_; + wire _04_; + wire [31:0] _05_; + wire [31:0] _06_; + wire _07_; + wire [31:0] _08_; + wire [31:0] _09_; + wire _10_; + reg [31:0] _11_; + reg [31:0] _12_; + reg ack; + input clk; + input [31:0] io_in; + output [31:0] io_out; + wire re; + input rst; + input [68:0] wb_in; + output [33:0] wb_out; + wire we; + assign _00_ = wb_in[67] & wb_in[66]; + assign we = _00_ & wb_in[68]; + assign _01_ = wb_in[67] & wb_in[66]; + assign _02_ = ~ wb_in[68]; + assign re = _01_ & _02_; + assign _03_ = we ? wb_in[61:30] : _12_; + assign _04_ = we ? 1'h1 : 1'h0; + assign _05_ = re ? io_in : _11_; + assign _06_ = re ? _12_ : _03_; + assign _07_ = re ? 1'h1 : _04_; + assign _08_ = rst ? _11_ : _05_; + assign _09_ = rst ? 32'd0 : _06_; + assign _10_ = rst ? 1'h0 : _07_; + always @(posedge clk) + _11_ <= _08_; + always @(posedge clk) + _12_ <= _09_; + always @(posedge clk) + ack <= _10_; + assign wb_out = { 1'h0, ack, _11_ }; + assign io_out = _12_; +endmodule + +module logical(rs, rb, op, invert_in, invert_out, datalen, result); + wire [1:0] _0000_; + wire [1:0] _0001_; + wire [1:0] _0002_; + wire [1:0] _0003_; + wire [1:0] _0004_; + wire [1:0] _0005_; + wire [1:0] _0006_; + wire [1:0] _0007_; + wire [1:0] _0008_; + wire [1:0] _0009_; + wire [1:0] _0010_; + wire [1:0] _0011_; + wire [1:0] _0012_; + wire [1:0] _0013_; + wire [1:0] _0014_; + wire [1:0] _0015_; + wire [1:0] _0016_; + wire [1:0] _0017_; + wire [1:0] _0018_; + wire [1:0] _0019_; + wire [1:0] _0020_; + wire [1:0] _0021_; + wire [1:0] _0022_; + wire [1:0] _0023_; + wire [1:0] _0024_; + wire [1:0] _0025_; + wire [1:0] _0026_; + wire [1:0] _0027_; + wire [1:0] _0028_; + wire [1:0] _0029_; + wire [1:0] _0030_; + wire [1:0] _0031_; + wire [2:0] _0032_; + wire [2:0] _0033_; + wire [2:0] _0034_; + wire [2:0] _0035_; + wire [2:0] _0036_; + wire [2:0] _0037_; + wire [2:0] _0038_; + wire [2:0] _0039_; + wire [2:0] _0040_; + wire [2:0] _0041_; + wire [2:0] _0042_; + wire [2:0] _0043_; + wire [2:0] _0044_; + wire [2:0] _0045_; + wire [2:0] _0046_; + wire [2:0] _0047_; + wire [3:0] _0048_; + wire [3:0] _0049_; + wire [3:0] _0050_; + wire [3:0] _0051_; + wire [3:0] _0052_; + wire [3:0] _0053_; + wire [3:0] _0054_; + wire [3:0] _0055_; + wire [5:0] _0056_; + wire [5:0] _0057_; + wire [5:0] _0058_; + wire [5:0] _0059_; + wire [5:0] _0060_; + wire [5:0] _0061_; + wire _0062_; + wire _0063_; + wire [6:0] _0064_; + wire [5:0] _0065_; + wire _0066_; + wire [5:0] _0067_; + wire [3:0] _0068_; + wire [2:0] _0069_; + wire [3:0] _0070_; + wire [3:0] _0071_; + wire [3:0] _0072_; + wire [3:0] _0073_; + wire [1:0] _0074_; + wire [3:0] _0075_; + wire [3:0] _0076_; + wire [3:0] _0077_; + wire _0078_; + wire _0079_; + wire _0080_; + wire _0081_; + wire _0082_; + wire _0083_; + wire _0084_; + wire _0085_; + wire _0086_; + wire _0087_; + wire _0088_; + wire _0089_; + wire _0090_; + wire _0091_; + wire _0092_; + wire _0093_; + wire _0094_; + wire _0095_; + wire _0096_; + wire _0097_; + wire _0098_; + wire _0099_; + wire _0100_; + wire [63:0] _0101_; + wire [63:0] _0102_; + wire [63:0] _0103_; + wire _0104_; + wire [63:0] _0105_; + wire _0106_; + wire [63:0] _0107_; + wire [63:0] _0108_; + wire [63:0] _0109_; + wire [63:0] _0110_; + wire _0111_; + wire _0112_; + wire _0113_; + wire _0114_; + wire _0115_; + wire _0116_; + wire _0117_; + wire _0118_; + wire [7:0] _0119_; + wire _0120_; + wire [7:0] _0121_; + wire _0122_; + wire [7:0] _0123_; + wire _0124_; + wire [7:0] _0125_; + wire _0126_; + wire [7:0] _0127_; + wire _0128_; + wire [7:0] _0129_; + wire _0130_; + wire [7:0] _0131_; + wire _0132_; + wire [7:0] _0133_; + wire _0134_; + wire _0135_; + wire _0136_; + wire _0137_; + wire _0138_; + wire _0139_; + wire _0140_; + wire _0141_; + wire _0142_; + wire _0143_; + wire _0144_; + wire _0145_; + wire _0146_; + wire _0147_; + wire _0148_; + wire _0149_; + wire _0150_; + wire _0151_; + wire _0152_; + wire _0153_; + wire _0154_; + wire _0155_; + wire _0156_; + wire _0157_; + wire _0158_; + wire _0159_; + wire _0160_; + wire _0161_; + wire _0162_; + wire _0163_; + wire _0164_; + wire _0165_; + wire _0166_; + wire _0167_; + wire _0168_; + wire _0169_; + wire _0170_; + wire _0171_; + wire _0172_; + wire _0173_; + wire _0174_; + wire _0175_; + wire _0176_; + wire _0177_; + wire _0178_; + wire _0179_; + wire _0180_; + wire _0181_; + wire _0182_; + wire _0183_; + wire _0184_; + wire _0185_; + wire _0186_; + wire _0187_; + wire _0188_; + wire _0189_; + wire _0190_; + wire _0191_; + wire _0192_; + wire _0193_; + wire _0194_; + wire _0195_; + wire _0196_; + wire _0197_; + wire _0198_; + wire _0199_; + wire _0200_; + wire _0201_; + wire _0202_; + wire _0203_; + wire _0204_; + wire _0205_; + wire _0206_; + wire _0207_; + wire _0208_; + wire _0209_; + wire _0210_; + wire _0211_; + wire _0212_; + wire _0213_; + wire _0214_; + wire _0215_; + wire _0216_; + wire _0217_; + wire _0218_; + wire _0219_; + wire _0220_; + wire _0221_; + wire _0222_; + wire _0223_; + wire _0224_; + wire _0225_; + wire _0226_; + wire _0227_; + wire _0228_; + wire _0229_; + wire _0230_; + wire _0231_; + wire _0232_; + wire _0233_; + wire _0234_; + wire _0235_; + wire _0236_; + wire _0237_; + wire _0238_; + wire _0239_; + wire _0240_; + wire _0241_; + wire _0242_; + wire _0243_; + wire _0244_; + wire _0245_; + wire _0246_; + wire _0247_; + wire _0248_; + wire _0249_; + wire _0250_; + wire _0251_; + wire _0252_; + wire _0253_; + wire _0254_; + wire _0255_; + wire _0256_; + wire _0257_; + wire _0258_; + wire _0259_; + wire _0260_; + wire _0261_; + wire _0262_; + wire _0263_; + wire _0264_; + wire _0265_; + wire _0266_; + wire _0267_; + wire _0268_; + wire _0269_; + wire _0270_; + wire _0271_; + wire _0272_; + wire _0273_; + wire _0274_; + wire _0275_; + wire _0276_; + wire _0277_; + wire _0278_; + wire _0279_; + wire _0280_; + wire _0281_; + wire _0282_; + wire _0283_; + wire _0284_; + wire _0285_; + wire _0286_; + wire _0287_; + wire _0288_; + wire _0289_; + wire _0290_; + wire _0291_; + wire _0292_; + wire _0293_; + wire _0294_; + wire _0295_; + wire _0296_; + wire _0297_; + wire _0298_; + wire _0299_; + wire _0300_; + wire _0301_; + wire _0302_; + wire _0303_; + wire _0304_; + wire _0305_; + wire _0306_; + wire _0307_; + wire _0308_; + wire _0309_; + wire _0310_; + wire _0311_; + wire _0312_; + wire _0313_; + wire _0314_; + wire _0315_; + wire _0316_; + wire _0317_; + wire _0318_; + wire _0319_; + wire _0320_; + wire _0321_; + wire _0322_; + wire _0323_; + wire _0324_; + wire _0325_; + wire _0326_; + wire _0327_; + wire _0328_; + wire _0329_; + wire _0330_; + wire _0331_; + wire _0332_; + wire _0333_; + wire _0334_; + wire _0335_; + wire _0336_; + wire _0337_; + wire _0338_; + wire _0339_; + wire _0340_; + wire _0341_; + wire _0342_; + wire _0343_; + wire _0344_; + wire _0345_; + wire _0346_; + wire _0347_; + wire _0348_; + wire _0349_; + wire _0350_; + wire _0351_; + wire _0352_; + wire _0353_; + wire _0354_; + wire _0355_; + wire _0356_; + wire _0357_; + wire _0358_; + wire _0359_; + wire _0360_; + wire _0361_; + wire _0362_; + wire _0363_; + wire _0364_; + wire _0365_; + wire _0366_; + wire _0367_; + wire _0368_; + wire _0369_; + wire _0370_; + wire _0371_; + wire _0372_; + wire _0373_; + wire _0374_; + wire _0375_; + wire _0376_; + wire _0377_; + wire _0378_; + wire _0379_; + wire _0380_; + wire _0381_; + wire _0382_; + wire _0383_; + wire _0384_; + wire _0385_; + wire _0386_; + wire _0387_; + wire _0388_; + wire _0389_; + wire _0390_; + wire _0391_; + wire _0392_; + wire _0393_; + wire _0394_; + wire _0395_; + wire _0396_; + wire _0397_; + wire _0398_; + wire _0399_; + wire _0400_; + wire _0401_; + wire _0402_; + wire _0403_; + wire _0404_; + wire _0405_; + wire _0406_; + wire _0407_; + wire _0408_; + wire _0409_; + wire _0410_; + wire _0411_; + wire _0412_; + wire _0413_; + wire _0414_; + wire _0415_; + wire _0416_; + wire _0417_; + wire _0418_; + wire _0419_; + wire _0420_; + wire _0421_; + wire _0422_; + wire _0423_; + wire _0424_; + wire _0425_; + wire _0426_; + wire _0427_; + wire _0428_; + wire _0429_; + wire _0430_; + wire _0431_; + wire _0432_; + wire _0433_; + wire _0434_; + wire _0435_; + wire _0436_; + wire _0437_; + wire _0438_; + wire _0439_; + wire _0440_; + wire _0441_; + wire _0442_; + wire _0443_; + wire _0444_; + wire _0445_; + wire _0446_; + wire _0447_; + wire _0448_; + wire _0449_; + wire _0450_; + wire _0451_; + wire _0452_; + wire _0453_; + wire _0454_; + wire _0455_; + wire _0456_; + wire _0457_; + wire _0458_; + wire _0459_; + wire _0460_; + wire _0461_; + wire _0462_; + wire _0463_; + wire _0464_; + wire _0465_; + wire _0466_; + wire _0467_; + wire _0468_; + wire _0469_; + wire _0470_; + wire _0471_; + wire _0472_; + wire _0473_; + wire _0474_; + wire _0475_; + wire _0476_; + wire _0477_; + wire _0478_; + wire _0479_; + wire _0480_; + wire _0481_; + wire _0482_; + wire _0483_; + wire _0484_; + wire _0485_; + wire _0486_; + wire _0487_; + wire _0488_; + wire _0489_; + wire _0490_; + wire _0491_; + wire _0492_; + wire _0493_; + wire _0494_; + wire _0495_; + wire _0496_; + wire _0497_; + wire _0498_; + wire _0499_; + wire _0500_; + wire _0501_; + wire _0502_; + wire _0503_; + wire _0504_; + wire _0505_; + wire _0506_; + wire _0507_; + wire _0508_; + wire _0509_; + wire _0510_; + wire _0511_; + wire _0512_; + wire _0513_; + wire _0514_; + wire _0515_; + wire _0516_; + wire _0517_; + wire _0518_; + wire _0519_; + wire _0520_; + wire _0521_; + wire _0522_; + wire _0523_; + wire _0524_; + wire _0525_; + wire _0526_; + wire _0527_; + wire _0528_; + wire _0529_; + wire _0530_; + wire _0531_; + wire _0532_; + wire _0533_; + wire _0534_; + wire _0535_; + wire _0536_; + wire _0537_; + wire _0538_; + wire _0539_; + wire _0540_; + wire _0541_; + wire _0542_; + wire _0543_; + wire _0544_; + wire _0545_; + wire _0546_; + wire _0547_; + wire _0548_; + wire _0549_; + wire _0550_; + wire _0551_; + wire _0552_; + wire _0553_; + wire _0554_; + wire _0555_; + wire _0556_; + wire _0557_; + wire _0558_; + wire _0559_; + wire _0560_; + wire _0561_; + wire _0562_; + wire _0563_; + wire _0564_; + wire _0565_; + wire _0566_; + wire _0567_; + wire _0568_; + wire _0569_; + wire _0570_; + wire _0571_; + wire _0572_; + wire _0573_; + wire _0574_; + wire _0575_; + wire _0576_; + wire _0577_; + wire _0578_; + wire _0579_; + wire _0580_; + wire _0581_; + wire _0582_; + wire _0583_; + wire _0584_; + wire _0585_; + wire _0586_; + wire _0587_; + wire _0588_; + wire _0589_; + wire _0590_; + wire _0591_; + wire _0592_; + wire _0593_; + wire _0594_; + wire _0595_; + wire _0596_; + wire _0597_; + wire _0598_; + wire _0599_; + wire _0600_; + wire _0601_; + wire _0602_; + wire _0603_; + wire _0604_; + wire _0605_; + wire _0606_; + wire _0607_; + wire _0608_; + wire _0609_; + wire _0610_; + wire _0611_; + wire _0612_; + wire _0613_; + wire _0614_; + wire _0615_; + wire _0616_; + wire _0617_; + wire _0618_; + wire _0619_; + wire _0620_; + wire _0621_; + wire _0622_; + wire _0623_; + wire _0624_; + wire _0625_; + wire _0626_; + wire _0627_; + wire _0628_; + wire _0629_; + wire _0630_; + wire _0631_; + wire _0632_; + wire _0633_; + wire _0634_; + wire _0635_; + wire _0636_; + wire _0637_; + wire _0638_; + wire _0639_; + wire _0640_; + wire _0641_; + wire _0642_; + wire _0643_; + wire _0644_; + wire _0645_; + wire _0646_; + wire _0647_; + wire _0648_; + wire _0649_; + wire _0650_; + wire _0651_; + wire _0652_; + wire _0653_; + wire _0654_; + wire _0655_; + wire _0656_; + wire _0657_; + wire _0658_; + wire _0659_; + wire _0660_; + wire _0661_; + wire _0662_; + wire _0663_; + wire _0664_; + wire _0665_; + wire _0666_; + wire _0667_; + wire _0668_; + wire _0669_; + wire _0670_; + wire _0671_; + wire _0672_; + wire _0673_; + wire _0674_; + wire _0675_; + wire _0676_; + wire _0677_; + wire _0678_; + wire _0679_; + wire _0680_; + wire _0681_; + wire _0682_; + wire _0683_; + wire _0684_; + wire _0685_; + wire _0686_; + wire _0687_; + wire _0688_; + wire _0689_; + wire _0690_; + wire _0691_; + wire _0692_; + wire _0693_; + wire _0694_; + wire _0695_; + wire _0696_; + wire _0697_; + wire _0698_; + wire _0699_; + wire _0700_; + wire _0701_; + wire _0702_; + wire _0703_; + wire _0704_; + wire _0705_; + wire _0706_; + wire _0707_; + wire _0708_; + wire _0709_; + wire _0710_; + wire _0711_; + wire _0712_; + wire _0713_; + wire _0714_; + wire _0715_; + wire _0716_; + wire _0717_; + wire _0718_; + wire _0719_; + wire _0720_; + wire _0721_; + wire _0722_; + wire _0723_; + wire _0724_; + wire _0725_; + wire _0726_; + wire _0727_; + wire _0728_; + wire _0729_; + wire _0730_; + wire _0731_; + wire _0732_; + wire _0733_; + wire _0734_; + wire _0735_; + wire _0736_; + wire _0737_; + wire _0738_; + wire _0739_; + wire _0740_; + wire _0741_; + wire _0742_; + wire _0743_; + wire _0744_; + wire _0745_; + wire _0746_; + wire _0747_; + wire _0748_; + wire _0749_; + wire _0750_; + wire _0751_; + wire _0752_; + wire _0753_; + wire _0754_; + wire _0755_; + wire _0756_; + wire _0757_; + wire _0758_; + wire _0759_; + wire _0760_; + wire _0761_; + wire _0762_; + wire _0763_; + wire _0764_; + wire _0765_; + wire _0766_; + wire _0767_; + wire _0768_; + wire _0769_; + wire _0770_; + wire _0771_; + wire _0772_; + wire _0773_; + wire _0774_; + wire _0775_; + wire _0776_; + wire _0777_; + wire _0778_; + wire _0779_; + wire _0780_; + wire _0781_; + wire _0782_; + wire _0783_; + wire _0784_; + wire _0785_; + wire _0786_; + wire _0787_; + wire _0788_; + wire _0789_; + wire _0790_; + wire _0791_; + wire _0792_; + wire _0793_; + wire _0794_; + wire _0795_; + wire _0796_; + wire _0797_; + wire _0798_; + wire _0799_; + wire _0800_; + wire _0801_; + wire _0802_; + wire _0803_; + wire _0804_; + wire _0805_; + wire _0806_; + wire _0807_; + wire _0808_; + wire _0809_; + wire _0810_; + wire _0811_; + wire _0812_; + wire _0813_; + wire _0814_; + wire _0815_; + wire _0816_; + wire _0817_; + wire _0818_; + wire _0819_; + wire _0820_; + wire _0821_; + wire _0822_; + wire _0823_; + wire _0824_; + wire _0825_; + wire _0826_; + wire _0827_; + wire _0828_; + wire _0829_; + wire _0830_; + wire _0831_; + wire _0832_; + wire _0833_; + wire _0834_; + wire _0835_; + wire _0836_; + wire _0837_; + wire _0838_; + wire _0839_; + wire _0840_; + wire _0841_; + wire _0842_; + wire _0843_; + wire _0844_; + wire _0845_; + wire _0846_; + wire _0847_; + wire _0848_; + wire _0849_; + wire _0850_; + wire _0851_; + wire _0852_; + wire _0853_; + wire _0854_; + wire _0855_; + wire _0856_; + wire _0857_; + wire _0858_; + wire _0859_; + wire _0860_; + wire _0861_; + wire _0862_; + wire _0863_; + wire _0864_; + wire _0865_; + wire _0866_; + wire _0867_; + wire _0868_; + wire _0869_; + wire _0870_; + wire _0871_; + wire _0872_; + wire _0873_; + wire _0874_; + wire _0875_; + wire _0876_; + wire _0877_; + wire _0878_; + wire _0879_; + wire _0880_; + wire _0881_; + wire _0882_; + wire _0883_; + wire _0884_; + wire _0885_; + wire _0886_; + wire _0887_; + wire _0888_; + wire _0889_; + wire _0890_; + wire _0891_; + wire _0892_; + wire _0893_; + wire _0894_; + wire _0895_; + wire _0896_; + wire _0897_; + wire _0898_; + wire _0899_; + wire _0900_; + wire [63:0] _0901_; + wire _0902_; + wire _0903_; + wire _0904_; + wire _0905_; + wire _0906_; + wire _0907_; + wire [15:0] _0908_; + wire _0909_; + wire [7:0] _0910_; + wire [7:0] _0911_; + wire [7:0] _0912_; + wire [15:0] _0913_; + wire [31:0] _0914_; + wire _0915_; + wire _0916_; + wire _0917_; + wire _0918_; + wire _0919_; + wire _0920_; + wire _0921_; + wire _0922_; + wire _0923_; + wire _0924_; + wire _0925_; + wire _0926_; + wire _0927_; + wire _0928_; + wire _0929_; + wire _0930_; + wire _0931_; + wire _0932_; + wire _0933_; + wire _0934_; + wire _0935_; + wire _0936_; + wire _0937_; + wire _0938_; + wire _0939_; + wire _0940_; + wire _0941_; + wire _0942_; + wire _0943_; + wire _0944_; + wire _0945_; + wire _0946_; + wire _0947_; + wire _0948_; + wire _0949_; + wire _0950_; + wire _0951_; + wire _0952_; + wire _0953_; + wire _0954_; + wire _0955_; + wire _0956_; + wire _0957_; + wire _0958_; + wire _0959_; + wire _0960_; + wire _0961_; + wire _0962_; + wire _0963_; + wire _0964_; + wire _0965_; + wire _0966_; + wire _0967_; + wire _0968_; + wire _0969_; + wire _0970_; + wire _0971_; + wire _0972_; + wire _0973_; + wire _0974_; + wire _0975_; + wire _0976_; + wire _0977_; + wire _0978_; + wire _0979_; + wire _0980_; + wire _0981_; + wire _0982_; + wire _0983_; + wire _0984_; + wire _0985_; + wire _0986_; + wire _0987_; + wire _0988_; + wire _0989_; + wire _0990_; + wire _0991_; + wire _0992_; + wire _0993_; + wire _0994_; + wire _0995_; + wire _0996_; + wire _0997_; + wire _0998_; + wire _0999_; + wire _1000_; + wire _1001_; + wire _1002_; + wire _1003_; + wire _1004_; + wire _1005_; + wire _1006_; + wire _1007_; + wire _1008_; + wire _1009_; + wire _1010_; + wire _1011_; + wire _1012_; + wire _1013_; + wire _1014_; + wire _1015_; + wire _1016_; + wire _1017_; + wire _1018_; + wire _1019_; + wire _1020_; + wire _1021_; + wire _1022_; + wire _1023_; + wire _1024_; + wire _1025_; + wire _1026_; + wire _1027_; + wire _1028_; + wire _1029_; + wire _1030_; + wire _1031_; + wire _1032_; + wire _1033_; + wire _1034_; + wire _1035_; + wire _1036_; + wire _1037_; + wire _1038_; + wire _1039_; + wire _1040_; + wire _1041_; + wire _1042_; + wire _1043_; + wire _1044_; + wire _1045_; + wire _1046_; + wire _1047_; + wire _1048_; + wire _1049_; + wire _1050_; + wire _1051_; + wire _1052_; + wire _1053_; + wire _1054_; + wire _1055_; + wire _1056_; + wire _1057_; + wire _1058_; + wire _1059_; + wire _1060_; + wire _1061_; + wire _1062_; + wire _1063_; + wire _1064_; + wire _1065_; + wire _1066_; + wire _1067_; + wire _1068_; + wire _1069_; + wire _1070_; + wire _1071_; + wire _1072_; + wire _1073_; + wire _1074_; + wire _1075_; + wire _1076_; + wire _1077_; + wire _1078_; + wire _1079_; + wire _1080_; + wire _1081_; + wire _1082_; + wire _1083_; + wire _1084_; + wire _1085_; + wire _1086_; + wire _1087_; + wire _1088_; + wire _1089_; + wire _1090_; + wire _1091_; + wire _1092_; + wire _1093_; + wire _1094_; + wire _1095_; + wire _1096_; + wire _1097_; + wire _1098_; + wire _1099_; + wire _1100_; + wire _1101_; + wire _1102_; + wire _1103_; + wire _1104_; + wire _1105_; + wire _1106_; + wire _1107_; + wire _1108_; + wire _1109_; + wire _1110_; + wire _1111_; + wire _1112_; + wire _1113_; + wire _1114_; + wire _1115_; + wire _1116_; + wire _1117_; + wire _1118_; + wire _1119_; + wire _1120_; + wire _1121_; + wire _1122_; + wire _1123_; + wire _1124_; + wire _1125_; + wire _1126_; + wire _1127_; + wire _1128_; + wire _1129_; + wire _1130_; + wire _1131_; + wire _1132_; + wire _1133_; + wire _1134_; + wire _1135_; + wire _1136_; + wire _1137_; + wire _1138_; + wire _1139_; + wire _1140_; + wire _1141_; + wire _1142_; + wire _1143_; + wire _1144_; + wire _1145_; + wire _1146_; + wire _1147_; + wire _1148_; + wire _1149_; + wire _1150_; + wire _1151_; + wire _1152_; + wire _1153_; + wire _1154_; + wire _1155_; + wire _1156_; + wire _1157_; + wire _1158_; + wire _1159_; + wire _1160_; + wire _1161_; + wire _1162_; + wire _1163_; + wire _1164_; + wire _1165_; + wire _1166_; + wire _1167_; + wire _1168_; + wire _1169_; + wire _1170_; + wire _1171_; + wire _1172_; + wire _1173_; + wire _1174_; + wire _1175_; + wire _1176_; + wire _1177_; + wire _1178_; + wire _1179_; + wire _1180_; + wire _1181_; + wire _1182_; + wire _1183_; + wire _1184_; + wire _1185_; + wire _1186_; + wire _1187_; + wire _1188_; + wire _1189_; + wire _1190_; + wire _1191_; + wire _1192_; + wire _1193_; + wire _1194_; + wire _1195_; + wire _1196_; + wire _1197_; + wire _1198_; + wire _1199_; + wire _1200_; + wire _1201_; + wire _1202_; + wire _1203_; + wire _1204_; + wire _1205_; + wire _1206_; + wire _1207_; + wire _1208_; + wire _1209_; + wire _1210_; + wire _1211_; + wire _1212_; + wire _1213_; + wire _1214_; + wire _1215_; + wire _1216_; + wire _1217_; + wire _1218_; + wire _1219_; + wire _1220_; + wire _1221_; + wire _1222_; + wire _1223_; + wire _1224_; + wire _1225_; + wire _1226_; + wire _1227_; + wire _1228_; + wire _1229_; + wire _1230_; + wire _1231_; + wire _1232_; + wire _1233_; + wire _1234_; + wire _1235_; + wire _1236_; + wire _1237_; + wire _1238_; + wire _1239_; + wire _1240_; + wire _1241_; + wire _1242_; + wire _1243_; + wire _1244_; + wire _1245_; + wire _1246_; + wire _1247_; + wire _1248_; + wire _1249_; + wire _1250_; + wire _1251_; + wire _1252_; + wire _1253_; + wire _1254_; + wire _1255_; + wire _1256_; + wire _1257_; + wire _1258_; + wire _1259_; + wire _1260_; + wire _1261_; + wire _1262_; + wire _1263_; + wire _1264_; + wire _1265_; + wire _1266_; + wire _1267_; + wire _1268_; + wire _1269_; + wire _1270_; + wire _1271_; + wire _1272_; + wire _1273_; + wire _1274_; + wire _1275_; + wire _1276_; + wire _1277_; + wire _1278_; + wire _1279_; + wire _1280_; + wire _1281_; + wire _1282_; + wire _1283_; + wire _1284_; + wire _1285_; + wire _1286_; + wire _1287_; + wire _1288_; + wire _1289_; + wire _1290_; + wire _1291_; + wire _1292_; + wire _1293_; + wire _1294_; + wire _1295_; + wire _1296_; + wire _1297_; + wire _1298_; + wire _1299_; + wire _1300_; + wire _1301_; + wire _1302_; + wire _1303_; + wire _1304_; + wire _1305_; + wire _1306_; + wire _1307_; + wire _1308_; + wire _1309_; + wire _1310_; + wire _1311_; + wire _1312_; + wire _1313_; + wire _1314_; + wire _1315_; + wire _1316_; + wire _1317_; + wire _1318_; + wire _1319_; + wire _1320_; + wire _1321_; + wire _1322_; + wire _1323_; + wire _1324_; + wire _1325_; + wire _1326_; + wire _1327_; + wire _1328_; + wire _1329_; + wire _1330_; + wire _1331_; + wire _1332_; + wire _1333_; + wire _1334_; + wire _1335_; + wire _1336_; + wire _1337_; + wire _1338_; + wire _1339_; + wire _1340_; + wire _1341_; + wire _1342_; + wire _1343_; + wire _1344_; + wire _1345_; + wire _1346_; + wire _1347_; + wire _1348_; + wire _1349_; + wire _1350_; + wire _1351_; + wire _1352_; + wire _1353_; + wire _1354_; + wire _1355_; + wire _1356_; + wire _1357_; + wire _1358_; + wire _1359_; + wire _1360_; + wire _1361_; + wire _1362_; + wire _1363_; + wire _1364_; + wire _1365_; + wire _1366_; + wire _1367_; + wire _1368_; + wire _1369_; + wire _1370_; + wire _1371_; + wire _1372_; + wire _1373_; + wire _1374_; + wire _1375_; + wire _1376_; + wire _1377_; + wire _1378_; + wire _1379_; + wire _1380_; + wire _1381_; + wire _1382_; + wire _1383_; + wire _1384_; + wire _1385_; + wire _1386_; + wire _1387_; + wire _1388_; + wire _1389_; + wire _1390_; + wire _1391_; + wire _1392_; + wire _1393_; + wire _1394_; + wire _1395_; + wire _1396_; + wire _1397_; + wire _1398_; + wire _1399_; + wire _1400_; + wire _1401_; + wire _1402_; + wire _1403_; + wire _1404_; + wire _1405_; + wire _1406_; + wire _1407_; + wire _1408_; + wire _1409_; + wire _1410_; + wire _1411_; + wire _1412_; + wire _1413_; + wire _1414_; + wire _1415_; + wire _1416_; + wire _1417_; + wire _1418_; + input [3:0] datalen; + input invert_in; + input invert_out; + input [5:0] op; + wire par0; + wire par1; + input [63:0] rb; + output [63:0] result; + input [63:0] rs; + assign _1083_ = rs[0] ? rb[1] : rb[0]; + assign _1084_ = rs[0] ? rb[5] : rb[4]; + assign _1085_ = rs[0] ? rb[9] : rb[8]; + assign _1086_ = rs[0] ? rb[13] : rb[12]; + assign _1087_ = rs[0] ? rb[17] : rb[16]; + assign _1088_ = rs[0] ? rb[21] : rb[20]; + assign _1089_ = rs[0] ? rb[25] : rb[24]; + assign _1090_ = rs[0] ? rb[29] : rb[28]; + assign _1091_ = rs[0] ? rb[33] : rb[32]; + assign _1092_ = rs[0] ? rb[37] : rb[36]; + assign _1093_ = rs[0] ? rb[41] : rb[40]; + assign _1094_ = rs[0] ? rb[45] : rb[44]; + assign _1095_ = rs[0] ? rb[49] : rb[48]; + assign _1096_ = rs[0] ? rb[53] : rb[52]; + assign _1097_ = rs[0] ? rb[57] : rb[56]; + assign _1098_ = rs[0] ? rb[61] : rb[60]; + assign _1099_ = rs[2] ? _0916_ : _0915_; + assign _1100_ = rs[2] ? _0920_ : _0919_; + assign _1101_ = rs[2] ? _0924_ : _0923_; + assign _1102_ = rs[2] ? _0928_ : _0927_; + assign _1103_ = rs[4] ? _0932_ : _0931_; + assign _1104_ = rs[8] ? rb[1] : rb[0]; + assign _1105_ = rs[8] ? rb[5] : rb[4]; + assign _1106_ = rs[8] ? rb[9] : rb[8]; + assign _1107_ = rs[8] ? rb[13] : rb[12]; + assign _1108_ = rs[8] ? rb[17] : rb[16]; + assign _1109_ = rs[8] ? rb[21] : rb[20]; + assign _1110_ = rs[8] ? rb[25] : rb[24]; + assign _1111_ = rs[8] ? rb[29] : rb[28]; + assign _1112_ = rs[8] ? rb[33] : rb[32]; + assign _1113_ = rs[8] ? rb[37] : rb[36]; + assign _1114_ = rs[8] ? rb[41] : rb[40]; + assign _1115_ = rs[8] ? rb[45] : rb[44]; + assign _1116_ = rs[8] ? rb[49] : rb[48]; + assign _1117_ = rs[8] ? rb[53] : rb[52]; + assign _1118_ = rs[8] ? rb[57] : rb[56]; + assign _1119_ = rs[8] ? rb[61] : rb[60]; + assign _1120_ = rs[10] ? _0937_ : _0936_; + assign _1121_ = rs[10] ? _0941_ : _0940_; + assign _1122_ = rs[10] ? _0945_ : _0944_; + assign _1123_ = rs[10] ? _0949_ : _0948_; + assign _1124_ = rs[12] ? _0953_ : _0952_; + assign _1125_ = rs[16] ? rb[1] : rb[0]; + assign _1126_ = rs[16] ? rb[5] : rb[4]; + assign _1127_ = rs[16] ? rb[9] : rb[8]; + assign _1128_ = rs[16] ? rb[13] : rb[12]; + assign _1129_ = rs[16] ? rb[17] : rb[16]; + assign _1130_ = rs[16] ? rb[21] : rb[20]; + assign _1131_ = rs[16] ? rb[25] : rb[24]; + assign _1132_ = rs[16] ? rb[29] : rb[28]; + assign _1133_ = rs[16] ? rb[33] : rb[32]; + assign _1134_ = rs[16] ? rb[37] : rb[36]; + assign _1135_ = rs[16] ? rb[41] : rb[40]; + assign _1136_ = rs[16] ? rb[45] : rb[44]; + assign _1137_ = rs[16] ? rb[49] : rb[48]; + assign _1138_ = rs[16] ? rb[53] : rb[52]; + assign _1139_ = rs[16] ? rb[57] : rb[56]; + assign _1140_ = rs[16] ? rb[61] : rb[60]; + assign _1141_ = rs[18] ? _0958_ : _0957_; + assign _1142_ = rs[18] ? _0962_ : _0961_; + assign _1143_ = rs[18] ? _0966_ : _0965_; + assign _1144_ = rs[18] ? _0970_ : _0969_; + assign _1145_ = rs[20] ? _0974_ : _0973_; + assign _1146_ = rs[24] ? rb[1] : rb[0]; + assign _1147_ = rs[24] ? rb[5] : rb[4]; + assign _1148_ = rs[24] ? rb[9] : rb[8]; + assign _1149_ = rs[24] ? rb[13] : rb[12]; + assign _1150_ = rs[24] ? rb[17] : rb[16]; + assign _1151_ = rs[24] ? rb[21] : rb[20]; + assign _1152_ = rs[24] ? rb[25] : rb[24]; + assign _1153_ = rs[24] ? rb[29] : rb[28]; + assign _1154_ = rs[24] ? rb[33] : rb[32]; + assign _1155_ = rs[24] ? rb[37] : rb[36]; + assign _1156_ = rs[24] ? rb[41] : rb[40]; + assign _1157_ = rs[24] ? rb[45] : rb[44]; + assign _1158_ = rs[24] ? rb[49] : rb[48]; + assign _1159_ = rs[24] ? rb[53] : rb[52]; + assign _1160_ = rs[24] ? rb[57] : rb[56]; + assign _1161_ = rs[24] ? rb[61] : rb[60]; + assign _1162_ = rs[26] ? _0979_ : _0978_; + assign _1163_ = rs[26] ? _0983_ : _0982_; + assign _1164_ = rs[26] ? _0987_ : _0986_; + assign _1165_ = rs[26] ? _0991_ : _0990_; + assign _1166_ = rs[28] ? _0995_ : _0994_; + assign _1167_ = rs[32] ? rb[1] : rb[0]; + assign _1168_ = rs[32] ? rb[5] : rb[4]; + assign _1169_ = rs[32] ? rb[9] : rb[8]; + assign _1170_ = rs[32] ? rb[13] : rb[12]; + assign _1171_ = rs[32] ? rb[17] : rb[16]; + assign _1172_ = rs[32] ? rb[21] : rb[20]; + assign _1173_ = rs[32] ? rb[25] : rb[24]; + assign _1174_ = rs[32] ? rb[29] : rb[28]; + assign _1175_ = rs[32] ? rb[33] : rb[32]; + assign _1176_ = rs[32] ? rb[37] : rb[36]; + assign _1177_ = rs[32] ? rb[41] : rb[40]; + assign _1178_ = rs[32] ? rb[45] : rb[44]; + assign _1179_ = rs[32] ? rb[49] : rb[48]; + assign _1180_ = rs[32] ? rb[53] : rb[52]; + assign _1181_ = rs[32] ? rb[57] : rb[56]; + assign _1182_ = rs[32] ? rb[61] : rb[60]; + assign _1183_ = rs[34] ? _1000_ : _0999_; + assign _1184_ = rs[34] ? _1004_ : _1003_; + assign _1185_ = rs[34] ? _1008_ : _1007_; + assign _1186_ = rs[34] ? _1012_ : _1011_; + assign _1187_ = rs[36] ? _1016_ : _1015_; + assign _1188_ = rs[40] ? rb[1] : rb[0]; + assign _1189_ = rs[40] ? rb[5] : rb[4]; + assign _1190_ = rs[40] ? rb[9] : rb[8]; + assign _1191_ = rs[40] ? rb[13] : rb[12]; + assign _1192_ = rs[40] ? rb[17] : rb[16]; + assign _1193_ = rs[40] ? rb[21] : rb[20]; + assign _1194_ = rs[40] ? rb[25] : rb[24]; + assign _1195_ = rs[40] ? rb[29] : rb[28]; + assign _1196_ = rs[40] ? rb[33] : rb[32]; + assign _1197_ = rs[40] ? rb[37] : rb[36]; + assign _1198_ = rs[40] ? rb[41] : rb[40]; + assign _1199_ = rs[40] ? rb[45] : rb[44]; + assign _1200_ = rs[40] ? rb[49] : rb[48]; + assign _1201_ = rs[40] ? rb[53] : rb[52]; + assign _1202_ = rs[40] ? rb[57] : rb[56]; + assign _1203_ = rs[40] ? rb[61] : rb[60]; + assign _1204_ = rs[42] ? _1021_ : _1020_; + assign _1205_ = rs[42] ? _1025_ : _1024_; + assign _1206_ = rs[42] ? _1029_ : _1028_; + assign _1207_ = rs[42] ? _1033_ : _1032_; + assign _1208_ = rs[44] ? _1037_ : _1036_; + assign _1209_ = rs[48] ? rb[1] : rb[0]; + assign _1210_ = rs[48] ? rb[5] : rb[4]; + assign _1211_ = rs[48] ? rb[9] : rb[8]; + assign _1212_ = rs[48] ? rb[13] : rb[12]; + assign _1213_ = rs[48] ? rb[17] : rb[16]; + assign _1214_ = rs[48] ? rb[21] : rb[20]; + assign _1215_ = rs[48] ? rb[25] : rb[24]; + assign _1216_ = rs[48] ? rb[29] : rb[28]; + assign _1217_ = rs[48] ? rb[33] : rb[32]; + assign _1218_ = rs[48] ? rb[37] : rb[36]; + assign _1219_ = rs[48] ? rb[41] : rb[40]; + assign _1220_ = rs[48] ? rb[45] : rb[44]; + assign _1221_ = rs[48] ? rb[49] : rb[48]; + assign _1222_ = rs[48] ? rb[53] : rb[52]; + assign _1223_ = rs[48] ? rb[57] : rb[56]; + assign _1224_ = rs[48] ? rb[61] : rb[60]; + assign _1225_ = rs[50] ? _1042_ : _1041_; + assign _1226_ = rs[50] ? _1046_ : _1045_; + assign _1227_ = rs[50] ? _1050_ : _1049_; + assign _1228_ = rs[50] ? _1054_ : _1053_; + assign _1229_ = rs[52] ? _1058_ : _1057_; + assign _1230_ = rs[56] ? rb[1] : rb[0]; + assign _1231_ = rs[56] ? rb[5] : rb[4]; + assign _1232_ = rs[56] ? rb[9] : rb[8]; + assign _1233_ = rs[56] ? rb[13] : rb[12]; + assign _1234_ = rs[56] ? rb[17] : rb[16]; + assign _1235_ = rs[56] ? rb[21] : rb[20]; + assign _1236_ = rs[56] ? rb[25] : rb[24]; + assign _1237_ = rs[56] ? rb[29] : rb[28]; + assign _1238_ = rs[56] ? rb[33] : rb[32]; + assign _1239_ = rs[56] ? rb[37] : rb[36]; + assign _1240_ = rs[56] ? rb[41] : rb[40]; + assign _1241_ = rs[56] ? rb[45] : rb[44]; + assign _1242_ = rs[56] ? rb[49] : rb[48]; + assign _1243_ = rs[56] ? rb[53] : rb[52]; + assign _1244_ = rs[56] ? rb[57] : rb[56]; + assign _1245_ = rs[56] ? rb[61] : rb[60]; + assign _1246_ = rs[58] ? _1063_ : _1062_; + assign _1247_ = rs[58] ? _1067_ : _1066_; + assign _1248_ = rs[58] ? _1071_ : _1070_; + assign _1249_ = rs[58] ? _1075_ : _1074_; + assign _1250_ = rs[60] ? _1079_ : _1078_; + assign _1251_ = rs[0] ? rb[3] : rb[2]; + assign _1252_ = rs[0] ? rb[7] : rb[6]; + assign _1253_ = rs[0] ? rb[11] : rb[10]; + assign _1254_ = rs[0] ? rb[15] : rb[14]; + assign _1255_ = rs[0] ? rb[19] : rb[18]; + assign _1256_ = rs[0] ? rb[23] : rb[22]; + assign _1257_ = rs[0] ? rb[27] : rb[26]; + assign _1258_ = rs[0] ? rb[31] : rb[30]; + assign _1259_ = rs[0] ? rb[35] : rb[34]; + assign _1260_ = rs[0] ? rb[39] : rb[38]; + assign _1261_ = rs[0] ? rb[43] : rb[42]; + assign _1262_ = rs[0] ? rb[47] : rb[46]; + assign _1263_ = rs[0] ? rb[51] : rb[50]; + assign _1264_ = rs[0] ? rb[55] : rb[54]; + assign _1265_ = rs[0] ? rb[59] : rb[58]; + assign _1266_ = rs[0] ? rb[63] : rb[62]; + assign _1267_ = rs[2] ? _0918_ : _0917_; + assign _1268_ = rs[2] ? _0922_ : _0921_; + assign _1269_ = rs[2] ? _0926_ : _0925_; + assign _1270_ = rs[2] ? _0930_ : _0929_; + assign _1271_ = rs[4] ? _0934_ : _0933_; + assign _1272_ = rs[8] ? rb[3] : rb[2]; + assign _1273_ = rs[8] ? rb[7] : rb[6]; + assign _1274_ = rs[8] ? rb[11] : rb[10]; + assign _1275_ = rs[8] ? rb[15] : rb[14]; + assign _1276_ = rs[8] ? rb[19] : rb[18]; + assign _1277_ = rs[8] ? rb[23] : rb[22]; + assign _1278_ = rs[8] ? rb[27] : rb[26]; + assign _1279_ = rs[8] ? rb[31] : rb[30]; + assign _1280_ = rs[8] ? rb[35] : rb[34]; + assign _1281_ = rs[8] ? rb[39] : rb[38]; + assign _1282_ = rs[8] ? rb[43] : rb[42]; + assign _1283_ = rs[8] ? rb[47] : rb[46]; + assign _1284_ = rs[8] ? rb[51] : rb[50]; + assign _1285_ = rs[8] ? rb[55] : rb[54]; + assign _1286_ = rs[8] ? rb[59] : rb[58]; + assign _1287_ = rs[8] ? rb[63] : rb[62]; + assign _1288_ = rs[10] ? _0939_ : _0938_; + assign _1289_ = rs[10] ? _0943_ : _0942_; + assign _1290_ = rs[10] ? _0947_ : _0946_; + assign _1291_ = rs[10] ? _0951_ : _0950_; + assign _1292_ = rs[12] ? _0955_ : _0954_; + assign _1293_ = rs[16] ? rb[3] : rb[2]; + assign _1294_ = rs[16] ? rb[7] : rb[6]; + assign _1295_ = rs[16] ? rb[11] : rb[10]; + assign _1296_ = rs[16] ? rb[15] : rb[14]; + assign _1297_ = rs[16] ? rb[19] : rb[18]; + assign _1298_ = rs[16] ? rb[23] : rb[22]; + assign _1299_ = rs[16] ? rb[27] : rb[26]; + assign _1300_ = rs[16] ? rb[31] : rb[30]; + assign _1301_ = rs[16] ? rb[35] : rb[34]; + assign _1302_ = rs[16] ? rb[39] : rb[38]; + assign _1303_ = rs[16] ? rb[43] : rb[42]; + assign _1304_ = rs[16] ? rb[47] : rb[46]; + assign _1305_ = rs[16] ? rb[51] : rb[50]; + assign _1306_ = rs[16] ? rb[55] : rb[54]; + assign _1307_ = rs[16] ? rb[59] : rb[58]; + assign _1308_ = rs[16] ? rb[63] : rb[62]; + assign _1309_ = rs[18] ? _0960_ : _0959_; + assign _1310_ = rs[18] ? _0964_ : _0963_; + assign _1311_ = rs[18] ? _0968_ : _0967_; + assign _1312_ = rs[18] ? _0972_ : _0971_; + assign _1313_ = rs[20] ? _0976_ : _0975_; + assign _1314_ = rs[24] ? rb[3] : rb[2]; + assign _1315_ = rs[24] ? rb[7] : rb[6]; + assign _1316_ = rs[24] ? rb[11] : rb[10]; + assign _1317_ = rs[24] ? rb[15] : rb[14]; + assign _1318_ = rs[24] ? rb[19] : rb[18]; + assign _1319_ = rs[24] ? rb[23] : rb[22]; + assign _1320_ = rs[24] ? rb[27] : rb[26]; + assign _1321_ = rs[24] ? rb[31] : rb[30]; + assign _1322_ = rs[24] ? rb[35] : rb[34]; + assign _1323_ = rs[24] ? rb[39] : rb[38]; + assign _1324_ = rs[24] ? rb[43] : rb[42]; + assign _1325_ = rs[24] ? rb[47] : rb[46]; + assign _1326_ = rs[24] ? rb[51] : rb[50]; + assign _1327_ = rs[24] ? rb[55] : rb[54]; + assign _1328_ = rs[24] ? rb[59] : rb[58]; + assign _1329_ = rs[24] ? rb[63] : rb[62]; + assign _1330_ = rs[26] ? _0981_ : _0980_; + assign _1331_ = rs[26] ? _0985_ : _0984_; + assign _1332_ = rs[26] ? _0989_ : _0988_; + assign _1333_ = rs[26] ? _0993_ : _0992_; + assign _1334_ = rs[28] ? _0997_ : _0996_; + assign _1335_ = rs[32] ? rb[3] : rb[2]; + assign _1336_ = rs[32] ? rb[7] : rb[6]; + assign _1337_ = rs[32] ? rb[11] : rb[10]; + assign _1338_ = rs[32] ? rb[15] : rb[14]; + assign _1339_ = rs[32] ? rb[19] : rb[18]; + assign _1340_ = rs[32] ? rb[23] : rb[22]; + assign _1341_ = rs[32] ? rb[27] : rb[26]; + assign _1342_ = rs[32] ? rb[31] : rb[30]; + assign _1343_ = rs[32] ? rb[35] : rb[34]; + assign _1344_ = rs[32] ? rb[39] : rb[38]; + assign _1345_ = rs[32] ? rb[43] : rb[42]; + assign _1346_ = rs[32] ? rb[47] : rb[46]; + assign _1347_ = rs[32] ? rb[51] : rb[50]; + assign _1348_ = rs[32] ? rb[55] : rb[54]; + assign _1349_ = rs[32] ? rb[59] : rb[58]; + assign _1350_ = rs[32] ? rb[63] : rb[62]; + assign _1351_ = rs[34] ? _1002_ : _1001_; + assign _1352_ = rs[34] ? _1006_ : _1005_; + assign _1353_ = rs[34] ? _1010_ : _1009_; + assign _1354_ = rs[34] ? _1014_ : _1013_; + assign _1355_ = rs[36] ? _1018_ : _1017_; + assign _1356_ = rs[40] ? rb[3] : rb[2]; + assign _1357_ = rs[40] ? rb[7] : rb[6]; + assign _1358_ = rs[40] ? rb[11] : rb[10]; + assign _1359_ = rs[40] ? rb[15] : rb[14]; + assign _1360_ = rs[40] ? rb[19] : rb[18]; + assign _1361_ = rs[40] ? rb[23] : rb[22]; + assign _1362_ = rs[40] ? rb[27] : rb[26]; + assign _1363_ = rs[40] ? rb[31] : rb[30]; + assign _1364_ = rs[40] ? rb[35] : rb[34]; + assign _1365_ = rs[40] ? rb[39] : rb[38]; + assign _1366_ = rs[40] ? rb[43] : rb[42]; + assign _1367_ = rs[40] ? rb[47] : rb[46]; + assign _1368_ = rs[40] ? rb[51] : rb[50]; + assign _1369_ = rs[40] ? rb[55] : rb[54]; + assign _1370_ = rs[40] ? rb[59] : rb[58]; + assign _1371_ = rs[40] ? rb[63] : rb[62]; + assign _1372_ = rs[42] ? _1023_ : _1022_; + assign _1373_ = rs[42] ? _1027_ : _1026_; + assign _1374_ = rs[42] ? _1031_ : _1030_; + assign _1375_ = rs[42] ? _1035_ : _1034_; + assign _1376_ = rs[44] ? _1039_ : _1038_; + assign _1377_ = rs[48] ? rb[3] : rb[2]; + assign _1378_ = rs[48] ? rb[7] : rb[6]; + assign _1379_ = rs[48] ? rb[11] : rb[10]; + assign _1380_ = rs[48] ? rb[15] : rb[14]; + assign _1381_ = rs[48] ? rb[19] : rb[18]; + assign _1382_ = rs[48] ? rb[23] : rb[22]; + assign _1383_ = rs[48] ? rb[27] : rb[26]; + assign _1384_ = rs[48] ? rb[31] : rb[30]; + assign _1385_ = rs[48] ? rb[35] : rb[34]; + assign _1386_ = rs[48] ? rb[39] : rb[38]; + assign _1387_ = rs[48] ? rb[43] : rb[42]; + assign _1388_ = rs[48] ? rb[47] : rb[46]; + assign _1389_ = rs[48] ? rb[51] : rb[50]; + assign _1390_ = rs[48] ? rb[55] : rb[54]; + assign _1391_ = rs[48] ? rb[59] : rb[58]; + assign _1392_ = rs[48] ? rb[63] : rb[62]; + assign _1393_ = rs[50] ? _1044_ : _1043_; + assign _1394_ = rs[50] ? _1048_ : _1047_; + assign _1395_ = rs[50] ? _1052_ : _1051_; + assign _1396_ = rs[50] ? _1056_ : _1055_; + assign _1397_ = rs[52] ? _1060_ : _1059_; + assign _1398_ = rs[56] ? rb[3] : rb[2]; + assign _1399_ = rs[56] ? rb[7] : rb[6]; + assign _1400_ = rs[56] ? rb[11] : rb[10]; + assign _1401_ = rs[56] ? rb[15] : rb[14]; + assign _1402_ = rs[56] ? rb[19] : rb[18]; + assign _1403_ = rs[56] ? rb[23] : rb[22]; + assign _1404_ = rs[56] ? rb[27] : rb[26]; + assign _1405_ = rs[56] ? rb[31] : rb[30]; + assign _1406_ = rs[56] ? rb[35] : rb[34]; + assign _1407_ = rs[56] ? rb[39] : rb[38]; + assign _1408_ = rs[56] ? rb[43] : rb[42]; + assign _1409_ = rs[56] ? rb[47] : rb[46]; + assign _1410_ = rs[56] ? rb[51] : rb[50]; + assign _1411_ = rs[56] ? rb[55] : rb[54]; + assign _1412_ = rs[56] ? rb[59] : rb[58]; + assign _1413_ = rs[56] ? rb[63] : rb[62]; + assign _1414_ = rs[58] ? _1065_ : _1064_; + assign _1415_ = rs[58] ? _1069_ : _1068_; + assign _1416_ = rs[58] ? _1073_ : _1072_; + assign _1417_ = rs[58] ? _1077_ : _1076_; + assign _1418_ = rs[60] ? _1081_ : _1080_; + assign _0915_ = rs[1] ? _1251_ : _1083_; + assign _0916_ = rs[1] ? _1252_ : _1084_; + assign _0917_ = rs[1] ? _1253_ : _1085_; + assign _0918_ = rs[1] ? _1254_ : _1086_; + assign _0919_ = rs[1] ? _1255_ : _1087_; + assign _0920_ = rs[1] ? _1256_ : _1088_; + assign _0921_ = rs[1] ? _1257_ : _1089_; + assign _0922_ = rs[1] ? _1258_ : _1090_; + assign _0923_ = rs[1] ? _1259_ : _1091_; + assign _0924_ = rs[1] ? _1260_ : _1092_; + assign _0925_ = rs[1] ? _1261_ : _1093_; + assign _0926_ = rs[1] ? _1262_ : _1094_; + assign _0927_ = rs[1] ? _1263_ : _1095_; + assign _0928_ = rs[1] ? _1264_ : _1096_; + assign _0929_ = rs[1] ? _1265_ : _1097_; + assign _0930_ = rs[1] ? _1266_ : _1098_; + assign _0931_ = rs[3] ? _1267_ : _1099_; + assign _0932_ = rs[3] ? _1268_ : _1100_; + assign _0933_ = rs[3] ? _1269_ : _1101_; + assign _0934_ = rs[3] ? _1270_ : _1102_; + assign _0935_ = rs[5] ? _1271_ : _1103_; + assign _0936_ = rs[9] ? _1272_ : _1104_; + assign _0937_ = rs[9] ? _1273_ : _1105_; + assign _0938_ = rs[9] ? _1274_ : _1106_; + assign _0939_ = rs[9] ? _1275_ : _1107_; + assign _0940_ = rs[9] ? _1276_ : _1108_; + assign _0941_ = rs[9] ? _1277_ : _1109_; + assign _0942_ = rs[9] ? _1278_ : _1110_; + assign _0943_ = rs[9] ? _1279_ : _1111_; + assign _0944_ = rs[9] ? _1280_ : _1112_; + assign _0945_ = rs[9] ? _1281_ : _1113_; + assign _0946_ = rs[9] ? _1282_ : _1114_; + assign _0947_ = rs[9] ? _1283_ : _1115_; + assign _0948_ = rs[9] ? _1284_ : _1116_; + assign _0949_ = rs[9] ? _1285_ : _1117_; + assign _0950_ = rs[9] ? _1286_ : _1118_; + assign _0951_ = rs[9] ? _1287_ : _1119_; + assign _0952_ = rs[11] ? _1288_ : _1120_; + assign _0953_ = rs[11] ? _1289_ : _1121_; + assign _0954_ = rs[11] ? _1290_ : _1122_; + assign _0955_ = rs[11] ? _1291_ : _1123_; + assign _0956_ = rs[13] ? _1292_ : _1124_; + assign _0957_ = rs[17] ? _1293_ : _1125_; + assign _0958_ = rs[17] ? _1294_ : _1126_; + assign _0959_ = rs[17] ? _1295_ : _1127_; + assign _0960_ = rs[17] ? _1296_ : _1128_; + assign _0961_ = rs[17] ? _1297_ : _1129_; + assign _0962_ = rs[17] ? _1298_ : _1130_; + assign _0963_ = rs[17] ? _1299_ : _1131_; + assign _0964_ = rs[17] ? _1300_ : _1132_; + assign _0965_ = rs[17] ? _1301_ : _1133_; + assign _0966_ = rs[17] ? _1302_ : _1134_; + assign _0967_ = rs[17] ? _1303_ : _1135_; + assign _0968_ = rs[17] ? _1304_ : _1136_; + assign _0969_ = rs[17] ? _1305_ : _1137_; + assign _0970_ = rs[17] ? _1306_ : _1138_; + assign _0971_ = rs[17] ? _1307_ : _1139_; + assign _0972_ = rs[17] ? _1308_ : _1140_; + assign _0973_ = rs[19] ? _1309_ : _1141_; + assign _0974_ = rs[19] ? _1310_ : _1142_; + assign _0975_ = rs[19] ? _1311_ : _1143_; + assign _0976_ = rs[19] ? _1312_ : _1144_; + assign _0977_ = rs[21] ? _1313_ : _1145_; + assign _0978_ = rs[25] ? _1314_ : _1146_; + assign _0979_ = rs[25] ? _1315_ : _1147_; + assign _0980_ = rs[25] ? _1316_ : _1148_; + assign _0981_ = rs[25] ? _1317_ : _1149_; + assign _0982_ = rs[25] ? _1318_ : _1150_; + assign _0983_ = rs[25] ? _1319_ : _1151_; + assign _0984_ = rs[25] ? _1320_ : _1152_; + assign _0985_ = rs[25] ? _1321_ : _1153_; + assign _0986_ = rs[25] ? _1322_ : _1154_; + assign _0987_ = rs[25] ? _1323_ : _1155_; + assign _0988_ = rs[25] ? _1324_ : _1156_; + assign _0989_ = rs[25] ? _1325_ : _1157_; + assign _0990_ = rs[25] ? _1326_ : _1158_; + assign _0991_ = rs[25] ? _1327_ : _1159_; + assign _0992_ = rs[25] ? _1328_ : _1160_; + assign _0993_ = rs[25] ? _1329_ : _1161_; + assign _0994_ = rs[27] ? _1330_ : _1162_; + assign _0995_ = rs[27] ? _1331_ : _1163_; + assign _0996_ = rs[27] ? _1332_ : _1164_; + assign _0997_ = rs[27] ? _1333_ : _1165_; + assign _0998_ = rs[29] ? _1334_ : _1166_; + assign _0999_ = rs[33] ? _1335_ : _1167_; + assign _1000_ = rs[33] ? _1336_ : _1168_; + assign _1001_ = rs[33] ? _1337_ : _1169_; + assign _1002_ = rs[33] ? _1338_ : _1170_; + assign _1003_ = rs[33] ? _1339_ : _1171_; + assign _1004_ = rs[33] ? _1340_ : _1172_; + assign _1005_ = rs[33] ? _1341_ : _1173_; + assign _1006_ = rs[33] ? _1342_ : _1174_; + assign _1007_ = rs[33] ? _1343_ : _1175_; + assign _1008_ = rs[33] ? _1344_ : _1176_; + assign _1009_ = rs[33] ? _1345_ : _1177_; + assign _1010_ = rs[33] ? _1346_ : _1178_; + assign _1011_ = rs[33] ? _1347_ : _1179_; + assign _1012_ = rs[33] ? _1348_ : _1180_; + assign _1013_ = rs[33] ? _1349_ : _1181_; + assign _1014_ = rs[33] ? _1350_ : _1182_; + assign _1015_ = rs[35] ? _1351_ : _1183_; + assign _1016_ = rs[35] ? _1352_ : _1184_; + assign _1017_ = rs[35] ? _1353_ : _1185_; + assign _1018_ = rs[35] ? _1354_ : _1186_; + assign _1019_ = rs[37] ? _1355_ : _1187_; + assign _1020_ = rs[41] ? _1356_ : _1188_; + assign _1021_ = rs[41] ? _1357_ : _1189_; + assign _1022_ = rs[41] ? _1358_ : _1190_; + assign _1023_ = rs[41] ? _1359_ : _1191_; + assign _1024_ = rs[41] ? _1360_ : _1192_; + assign _1025_ = rs[41] ? _1361_ : _1193_; + assign _1026_ = rs[41] ? _1362_ : _1194_; + assign _1027_ = rs[41] ? _1363_ : _1195_; + assign _1028_ = rs[41] ? _1364_ : _1196_; + assign _1029_ = rs[41] ? _1365_ : _1197_; + assign _1030_ = rs[41] ? _1366_ : _1198_; + assign _1031_ = rs[41] ? _1367_ : _1199_; + assign _1032_ = rs[41] ? _1368_ : _1200_; + assign _1033_ = rs[41] ? _1369_ : _1201_; + assign _1034_ = rs[41] ? _1370_ : _1202_; + assign _1035_ = rs[41] ? _1371_ : _1203_; + assign _1036_ = rs[43] ? _1372_ : _1204_; + assign _1037_ = rs[43] ? _1373_ : _1205_; + assign _1038_ = rs[43] ? _1374_ : _1206_; + assign _1039_ = rs[43] ? _1375_ : _1207_; + assign _1040_ = rs[45] ? _1376_ : _1208_; + assign _1041_ = rs[49] ? _1377_ : _1209_; + assign _1042_ = rs[49] ? _1378_ : _1210_; + assign _1043_ = rs[49] ? _1379_ : _1211_; + assign _1044_ = rs[49] ? _1380_ : _1212_; + assign _1045_ = rs[49] ? _1381_ : _1213_; + assign _1046_ = rs[49] ? _1382_ : _1214_; + assign _1047_ = rs[49] ? _1383_ : _1215_; + assign _1048_ = rs[49] ? _1384_ : _1216_; + assign _1049_ = rs[49] ? _1385_ : _1217_; + assign _1050_ = rs[49] ? _1386_ : _1218_; + assign _1051_ = rs[49] ? _1387_ : _1219_; + assign _1052_ = rs[49] ? _1388_ : _1220_; + assign _1053_ = rs[49] ? _1389_ : _1221_; + assign _1054_ = rs[49] ? _1390_ : _1222_; + assign _1055_ = rs[49] ? _1391_ : _1223_; + assign _1056_ = rs[49] ? _1392_ : _1224_; + assign _1057_ = rs[51] ? _1393_ : _1225_; + assign _1058_ = rs[51] ? _1394_ : _1226_; + assign _1059_ = rs[51] ? _1395_ : _1227_; + assign _1060_ = rs[51] ? _1396_ : _1228_; + assign _1061_ = rs[53] ? _1397_ : _1229_; + assign _1062_ = rs[57] ? _1398_ : _1230_; + assign _1063_ = rs[57] ? _1399_ : _1231_; + assign _1064_ = rs[57] ? _1400_ : _1232_; + assign _1065_ = rs[57] ? _1401_ : _1233_; + assign _1066_ = rs[57] ? _1402_ : _1234_; + assign _1067_ = rs[57] ? _1403_ : _1235_; + assign _1068_ = rs[57] ? _1404_ : _1236_; + assign _1069_ = rs[57] ? _1405_ : _1237_; + assign _1070_ = rs[57] ? _1406_ : _1238_; + assign _1071_ = rs[57] ? _1407_ : _1239_; + assign _1072_ = rs[57] ? _1408_ : _1240_; + assign _1073_ = rs[57] ? _1409_ : _1241_; + assign _1074_ = rs[57] ? _1410_ : _1242_; + assign _1075_ = rs[57] ? _1411_ : _1243_; + assign _1076_ = rs[57] ? _1412_ : _1244_; + assign _1077_ = rs[57] ? _1413_ : _1245_; + assign _1078_ = rs[59] ? _1414_ : _1246_; + assign _1079_ = rs[59] ? _1415_ : _1247_; + assign _1080_ = rs[59] ? _1416_ : _1248_; + assign _1081_ = rs[59] ? _1417_ : _1249_; + assign _1082_ = rs[61] ? _1418_ : _1250_; + assign _0000_ = { 1'h0, rs[0] } + { 1'h0, rs[1] }; + assign _0001_ = { 1'h0, rs[2] } + { 1'h0, rs[3] }; + assign _0002_ = { 1'h0, rs[4] } + { 1'h0, rs[5] }; + assign _0003_ = { 1'h0, rs[6] } + { 1'h0, rs[7] }; + assign _0004_ = { 1'h0, rs[8] } + { 1'h0, rs[9] }; + assign _0005_ = { 1'h0, rs[10] } + { 1'h0, rs[11] }; + assign _0006_ = { 1'h0, rs[12] } + { 1'h0, rs[13] }; + assign _0007_ = { 1'h0, rs[14] } + { 1'h0, rs[15] }; + assign _0008_ = { 1'h0, rs[16] } + { 1'h0, rs[17] }; + assign _0009_ = { 1'h0, rs[18] } + { 1'h0, rs[19] }; + assign _0010_ = { 1'h0, rs[20] } + { 1'h0, rs[21] }; + assign _0011_ = { 1'h0, rs[22] } + { 1'h0, rs[23] }; + assign _0012_ = { 1'h0, rs[24] } + { 1'h0, rs[25] }; + assign _0013_ = { 1'h0, rs[26] } + { 1'h0, rs[27] }; + assign _0014_ = { 1'h0, rs[28] } + { 1'h0, rs[29] }; + assign _0015_ = { 1'h0, rs[30] } + { 1'h0, rs[31] }; + assign _0016_ = { 1'h0, rs[32] } + { 1'h0, rs[33] }; + assign _0017_ = { 1'h0, rs[34] } + { 1'h0, rs[35] }; + assign _0018_ = { 1'h0, rs[36] } + { 1'h0, rs[37] }; + assign _0019_ = { 1'h0, rs[38] } + { 1'h0, rs[39] }; + assign _0020_ = { 1'h0, rs[40] } + { 1'h0, rs[41] }; + assign _0021_ = { 1'h0, rs[42] } + { 1'h0, rs[43] }; + assign _0022_ = { 1'h0, rs[44] } + { 1'h0, rs[45] }; + assign _0023_ = { 1'h0, rs[46] } + { 1'h0, rs[47] }; + assign _0024_ = { 1'h0, rs[48] } + { 1'h0, rs[49] }; + assign _0025_ = { 1'h0, rs[50] } + { 1'h0, rs[51] }; + assign _0026_ = { 1'h0, rs[52] } + { 1'h0, rs[53] }; + assign _0027_ = { 1'h0, rs[54] } + { 1'h0, rs[55] }; + assign _0028_ = { 1'h0, rs[56] } + { 1'h0, rs[57] }; + assign _0029_ = { 1'h0, rs[58] } + { 1'h0, rs[59] }; + assign _0030_ = { 1'h0, rs[60] } + { 1'h0, rs[61] }; + assign _0031_ = { 1'h0, rs[62] } + { 1'h0, rs[63] }; + assign _0032_ = { 1'h0, _0000_ } + { 1'h0, _0001_ }; + assign _0033_ = { 1'h0, _0002_ } + { 1'h0, _0003_ }; + assign _0034_ = { 1'h0, _0004_ } + { 1'h0, _0005_ }; + assign _0035_ = { 1'h0, _0006_ } + { 1'h0, _0007_ }; + assign _0036_ = { 1'h0, _0008_ } + { 1'h0, _0009_ }; + assign _0037_ = { 1'h0, _0010_ } + { 1'h0, _0011_ }; + assign _0038_ = { 1'h0, _0012_ } + { 1'h0, _0013_ }; + assign _0039_ = { 1'h0, _0014_ } + { 1'h0, _0015_ }; + assign _0040_ = { 1'h0, _0016_ } + { 1'h0, _0017_ }; + assign _0041_ = { 1'h0, _0018_ } + { 1'h0, _0019_ }; + assign _0042_ = { 1'h0, _0020_ } + { 1'h0, _0021_ }; + assign _0043_ = { 1'h0, _0022_ } + { 1'h0, _0023_ }; + assign _0044_ = { 1'h0, _0024_ } + { 1'h0, _0025_ }; + assign _0045_ = { 1'h0, _0026_ } + { 1'h0, _0027_ }; + assign _0046_ = { 1'h0, _0028_ } + { 1'h0, _0029_ }; + assign _0047_ = { 1'h0, _0030_ } + { 1'h0, _0031_ }; + assign _0048_ = { 1'h0, _0032_ } + { 1'h0, _0033_ }; + assign _0049_ = { 1'h0, _0034_ } + { 1'h0, _0035_ }; + assign _0050_ = { 1'h0, _0036_ } + { 1'h0, _0037_ }; + assign _0051_ = { 1'h0, _0038_ } + { 1'h0, _0039_ }; + assign _0052_ = { 1'h0, _0040_ } + { 1'h0, _0041_ }; + assign _0053_ = { 1'h0, _0042_ } + { 1'h0, _0043_ }; + assign _0054_ = { 1'h0, _0044_ } + { 1'h0, _0045_ }; + assign _0055_ = { 1'h0, _0046_ } + { 1'h0, _0047_ }; + assign _0056_ = { 2'h0, _0048_ } + { 2'h0, _0049_ }; + assign _0057_ = _0056_ + { 2'h0, _0050_ }; + assign _0058_ = _0057_ + { 2'h0, _0051_ }; + assign _0059_ = { 2'h0, _0052_ } + { 2'h0, _0053_ }; + assign _0060_ = _0059_ + { 2'h0, _0054_ }; + assign _0061_ = _0060_ + { 2'h0, _0055_ }; + assign _0062_ = datalen[3:2] == 2'h0; + assign _0063_ = ~ datalen[3]; + assign _0064_ = { 1'h0, _0058_ } + { 1'h0, _0061_ }; + assign _0065_ = _0063_ ? _0058_ : _0064_[5:0]; + assign _0066_ = _0063_ ? 1'h0 : _0064_[6]; + assign _0067_ = _0063_ ? _0061_ : 6'h00; + assign _0068_ = _0062_ ? _0048_ : _0065_[3:0]; + assign _0069_ = _0062_ ? 3'h0 : { _0066_, _0065_[5:4] }; + assign _0070_ = _0062_ ? _0049_ : 4'h0; + assign _0071_ = _0062_ ? _0050_ : 4'h0; + assign _0072_ = _0062_ ? _0051_ : 4'h0; + assign _0073_ = _0062_ ? _0052_ : _0067_[3:0]; + assign _0074_ = _0062_ ? 2'h0 : _0067_[5:4]; + assign _0075_ = _0062_ ? _0053_ : 4'h0; + assign _0076_ = _0062_ ? _0054_ : 4'h0; + assign _0077_ = _0062_ ? _0055_ : 4'h0; + assign _0078_ = rs[0] ^ rs[8]; + assign _0079_ = _0078_ ^ rs[16]; + assign par0 = _0079_ ^ rs[24]; + assign _0080_ = rs[32] ^ rs[40]; + assign _0081_ = _0080_ ^ rs[48]; + assign par1 = _0081_ ^ rs[56]; + assign _0082_ = par0 ^ par1; + assign _0083_ = datalen[3] ? _0082_ : par0; + assign _0084_ = datalen[3] ? 1'h0 : par1; + assign _0085_ = rs[7:6] == 2'h0; + assign _0086_ = _0085_ ? _0935_ : 1'h0; + assign _0087_ = rs[15:14] == 2'h0; + assign _0088_ = _0087_ ? _0956_ : 1'h0; + assign _0089_ = rs[23:22] == 2'h0; + assign _0090_ = _0089_ ? _0977_ : 1'h0; + assign _0091_ = rs[31:30] == 2'h0; + assign _0092_ = _0091_ ? _0998_ : 1'h0; + assign _0093_ = rs[39:38] == 2'h0; + assign _0094_ = _0093_ ? _1019_ : 1'h0; + assign _0095_ = rs[47:46] == 2'h0; + assign _0096_ = _0095_ ? _1040_ : 1'h0; + assign _0097_ = rs[55:54] == 2'h0; + assign _0098_ = _0097_ ? _1061_ : 1'h0; + assign _0099_ = rs[63:62] == 2'h0; + assign _0100_ = _0099_ ? _1082_ : 1'h0; + assign _0101_ = ~ rb; + assign _0102_ = invert_in ? _0101_ : rb; + assign _0103_ = rs & _0102_; + assign _0104_ = op == 6'h03; + assign _0105_ = rs | _0102_; + assign _0106_ = op == 6'h2e; + assign _0107_ = rs ^ _0102_; + function [63:0] \22355 ; + input [63:0] a; + input [127:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \22355 = b[63:0]; + 2'b1?: + \22355 = b[127:64]; + default: + \22355 = a; + endcase + endfunction + assign _0108_ = \22355 (_0107_, { _0105_, _0103_ }, { _0106_, _0104_ }); + assign _0109_ = ~ _0108_; + assign _0110_ = invert_out ? _0109_ : _0108_; + assign _0111_ = op == 6'h03; + assign _0112_ = op == 6'h2e; + assign _0113_ = _0111_ | _0112_; + assign _0114_ = op == 6'h3c; + assign _0115_ = _0113_ | _0114_; + assign _0116_ = op == 6'h2f; + assign _0117_ = op == 6'h30; + assign _0118_ = rs[7:0] == rb[7:0]; + assign _0119_ = _0118_ ? 8'hff : 8'h00; + assign _0120_ = rs[15:8] == rb[15:8]; + assign _0121_ = _0120_ ? 8'hff : 8'h00; + assign _0122_ = rs[23:16] == rb[23:16]; + assign _0123_ = _0122_ ? 8'hff : 8'h00; + assign _0124_ = rs[31:24] == rb[31:24]; + assign _0125_ = _0124_ ? 8'hff : 8'h00; + assign _0126_ = rs[39:32] == rb[39:32]; + assign _0127_ = _0126_ ? 8'hff : 8'h00; + assign _0128_ = rs[47:40] == rb[47:40]; + assign _0129_ = _0128_ ? 8'hff : 8'h00; + assign _0130_ = rs[55:48] == rb[55:48]; + assign _0131_ = _0130_ ? 8'hff : 8'h00; + assign _0132_ = rs[63:56] == rb[63:56]; + assign _0133_ = _0132_ ? 8'hff : 8'h00; + assign _0134_ = op == 6'h0a; + assign _0135_ = op == 6'h08; + assign _0136_ = ~ invert_in; + assign _0137_ = rs[50] & rs[55]; + assign _0138_ = _0137_ & rs[47]; + assign _0139_ = ~ rs[51]; + assign _0140_ = _0138_ & _0139_; + assign _0141_ = rs[46] & rs[55]; + assign _0142_ = ~ rs[47]; + assign _0143_ = _0141_ & _0142_; + assign _0144_ = _0140_ | _0143_; + assign _0145_ = ~ rs[55]; + assign _0146_ = rs[54] & _0145_; + assign _0147_ = _0144_ | _0146_; + assign _0148_ = rs[49] & rs[55]; + assign _0149_ = _0148_ & rs[47]; + assign _0150_ = ~ rs[51]; + assign _0151_ = _0149_ & _0150_; + assign _0152_ = rs[45] & rs[55]; + assign _0153_ = ~ rs[47]; + assign _0154_ = _0152_ & _0153_; + assign _0155_ = _0151_ | _0154_; + assign _0156_ = ~ rs[55]; + assign _0157_ = rs[53] & _0156_; + assign _0158_ = _0155_ | _0157_; + assign _0159_ = ~ rs[55]; + assign _0160_ = rs[46] & _0159_; + assign _0161_ = _0160_ & rs[51]; + assign _0162_ = ~ rs[47]; + assign _0163_ = _0161_ & _0162_; + assign _0164_ = ~ rs[47]; + assign _0165_ = rs[50] & _0164_; + assign _0166_ = ~ rs[51]; + assign _0167_ = _0165_ & _0166_; + assign _0168_ = _0163_ | _0167_; + assign _0169_ = ~ rs[55]; + assign _0170_ = rs[50] & _0169_; + assign _0171_ = ~ rs[51]; + assign _0172_ = _0170_ & _0171_; + assign _0173_ = _0168_ | _0172_; + assign _0174_ = rs[51] & rs[47]; + assign _0175_ = _0173_ | _0174_; + assign _0176_ = ~ rs[55]; + assign _0177_ = rs[45] & _0176_; + assign _0178_ = _0177_ & rs[51]; + assign _0179_ = ~ rs[47]; + assign _0180_ = _0178_ & _0179_; + assign _0181_ = ~ rs[47]; + assign _0182_ = rs[49] & _0181_; + assign _0183_ = ~ rs[51]; + assign _0184_ = _0182_ & _0183_; + assign _0185_ = _0180_ | _0184_; + assign _0186_ = ~ rs[55]; + assign _0187_ = rs[49] & _0186_; + assign _0188_ = ~ rs[51]; + assign _0189_ = _0187_ & _0188_; + assign _0190_ = _0185_ | _0189_; + assign _0191_ = rs[55] & rs[47]; + assign _0192_ = _0190_ | _0191_; + assign _0193_ = rs[55] | rs[51]; + assign _0194_ = _0193_ | rs[47]; + assign _0195_ = ~ rs[51]; + assign _0196_ = _0195_ & rs[46]; + assign _0197_ = ~ rs[47]; + assign _0198_ = _0196_ & _0197_; + assign _0199_ = rs[51] & rs[47]; + assign _0200_ = _0198_ | _0199_; + assign _0201_ = _0200_ | rs[55]; + assign _0202_ = ~ rs[55]; + assign _0203_ = _0202_ & rs[45]; + assign _0204_ = ~ rs[47]; + assign _0205_ = _0203_ & _0204_; + assign _0206_ = rs[55] & rs[47]; + assign _0207_ = _0205_ | _0206_; + assign _0208_ = _0207_ | rs[51]; + assign _0209_ = rs[38] & rs[43]; + assign _0210_ = _0209_ & rs[35]; + assign _0211_ = ~ rs[39]; + assign _0212_ = _0210_ & _0211_; + assign _0213_ = rs[34] & rs[43]; + assign _0214_ = ~ rs[35]; + assign _0215_ = _0213_ & _0214_; + assign _0216_ = _0212_ | _0215_; + assign _0217_ = ~ rs[43]; + assign _0218_ = rs[42] & _0217_; + assign _0219_ = _0216_ | _0218_; + assign _0220_ = rs[37] & rs[43]; + assign _0221_ = _0220_ & rs[35]; + assign _0222_ = ~ rs[39]; + assign _0223_ = _0221_ & _0222_; + assign _0224_ = rs[33] & rs[43]; + assign _0225_ = ~ rs[35]; + assign _0226_ = _0224_ & _0225_; + assign _0227_ = _0223_ | _0226_; + assign _0228_ = ~ rs[43]; + assign _0229_ = rs[41] & _0228_; + assign _0230_ = _0227_ | _0229_; + assign _0231_ = ~ rs[43]; + assign _0232_ = rs[34] & _0231_; + assign _0233_ = _0232_ & rs[39]; + assign _0234_ = ~ rs[35]; + assign _0235_ = _0233_ & _0234_; + assign _0236_ = ~ rs[35]; + assign _0237_ = rs[38] & _0236_; + assign _0238_ = ~ rs[39]; + assign _0239_ = _0237_ & _0238_; + assign _0240_ = _0235_ | _0239_; + assign _0241_ = ~ rs[43]; + assign _0242_ = rs[38] & _0241_; + assign _0243_ = ~ rs[39]; + assign _0244_ = _0242_ & _0243_; + assign _0245_ = _0240_ | _0244_; + assign _0246_ = rs[39] & rs[35]; + assign _0247_ = _0245_ | _0246_; + assign _0248_ = ~ rs[43]; + assign _0249_ = rs[33] & _0248_; + assign _0250_ = _0249_ & rs[39]; + assign _0251_ = ~ rs[35]; + assign _0252_ = _0250_ & _0251_; + assign _0253_ = ~ rs[35]; + assign _0254_ = rs[37] & _0253_; + assign _0255_ = ~ rs[39]; + assign _0256_ = _0254_ & _0255_; + assign _0257_ = _0252_ | _0256_; + assign _0258_ = ~ rs[43]; + assign _0259_ = rs[37] & _0258_; + assign _0260_ = ~ rs[39]; + assign _0261_ = _0259_ & _0260_; + assign _0262_ = _0257_ | _0261_; + assign _0263_ = rs[43] & rs[35]; + assign _0264_ = _0262_ | _0263_; + assign _0265_ = rs[43] | rs[39]; + assign _0266_ = _0265_ | rs[35]; + assign _0267_ = ~ rs[39]; + assign _0268_ = _0267_ & rs[34]; + assign _0269_ = ~ rs[35]; + assign _0270_ = _0268_ & _0269_; + assign _0271_ = rs[39] & rs[35]; + assign _0272_ = _0270_ | _0271_; + assign _0273_ = _0272_ | rs[43]; + assign _0274_ = ~ rs[43]; + assign _0275_ = _0274_ & rs[33]; + assign _0276_ = ~ rs[35]; + assign _0277_ = _0275_ & _0276_; + assign _0278_ = rs[43] & rs[35]; + assign _0279_ = _0277_ | _0278_; + assign _0280_ = _0279_ | rs[39]; + assign _0281_ = rs[18] & rs[23]; + assign _0282_ = _0281_ & rs[15]; + assign _0283_ = ~ rs[19]; + assign _0284_ = _0282_ & _0283_; + assign _0285_ = rs[14] & rs[23]; + assign _0286_ = ~ rs[15]; + assign _0287_ = _0285_ & _0286_; + assign _0288_ = _0284_ | _0287_; + assign _0289_ = ~ rs[23]; + assign _0290_ = rs[22] & _0289_; + assign _0291_ = _0288_ | _0290_; + assign _0292_ = rs[17] & rs[23]; + assign _0293_ = _0292_ & rs[15]; + assign _0294_ = ~ rs[19]; + assign _0295_ = _0293_ & _0294_; + assign _0296_ = rs[13] & rs[23]; + assign _0297_ = ~ rs[15]; + assign _0298_ = _0296_ & _0297_; + assign _0299_ = _0295_ | _0298_; + assign _0300_ = ~ rs[23]; + assign _0301_ = rs[21] & _0300_; + assign _0302_ = _0299_ | _0301_; + assign _0303_ = ~ rs[23]; + assign _0304_ = rs[14] & _0303_; + assign _0305_ = _0304_ & rs[19]; + assign _0306_ = ~ rs[15]; + assign _0307_ = _0305_ & _0306_; + assign _0308_ = ~ rs[15]; + assign _0309_ = rs[18] & _0308_; + assign _0310_ = ~ rs[19]; + assign _0311_ = _0309_ & _0310_; + assign _0312_ = _0307_ | _0311_; + assign _0313_ = ~ rs[23]; + assign _0314_ = rs[18] & _0313_; + assign _0315_ = ~ rs[19]; + assign _0316_ = _0314_ & _0315_; + assign _0317_ = _0312_ | _0316_; + assign _0318_ = rs[19] & rs[15]; + assign _0319_ = _0317_ | _0318_; + assign _0320_ = ~ rs[23]; + assign _0321_ = rs[13] & _0320_; + assign _0322_ = _0321_ & rs[19]; + assign _0323_ = ~ rs[15]; + assign _0324_ = _0322_ & _0323_; + assign _0325_ = ~ rs[15]; + assign _0326_ = rs[17] & _0325_; + assign _0327_ = ~ rs[19]; + assign _0328_ = _0326_ & _0327_; + assign _0329_ = _0324_ | _0328_; + assign _0330_ = ~ rs[23]; + assign _0331_ = rs[17] & _0330_; + assign _0332_ = ~ rs[19]; + assign _0333_ = _0331_ & _0332_; + assign _0334_ = _0329_ | _0333_; + assign _0335_ = rs[23] & rs[15]; + assign _0336_ = _0334_ | _0335_; + assign _0337_ = rs[23] | rs[19]; + assign _0338_ = _0337_ | rs[15]; + assign _0339_ = ~ rs[19]; + assign _0340_ = _0339_ & rs[14]; + assign _0341_ = ~ rs[15]; + assign _0342_ = _0340_ & _0341_; + assign _0343_ = rs[19] & rs[15]; + assign _0344_ = _0342_ | _0343_; + assign _0345_ = _0344_ | rs[23]; + assign _0346_ = ~ rs[23]; + assign _0347_ = _0346_ & rs[13]; + assign _0348_ = ~ rs[15]; + assign _0349_ = _0347_ & _0348_; + assign _0350_ = rs[23] & rs[15]; + assign _0351_ = _0349_ | _0350_; + assign _0352_ = _0351_ | rs[19]; + assign _0353_ = rs[6] & rs[11]; + assign _0354_ = _0353_ & rs[3]; + assign _0355_ = ~ rs[7]; + assign _0356_ = _0354_ & _0355_; + assign _0357_ = rs[2] & rs[11]; + assign _0358_ = ~ rs[3]; + assign _0359_ = _0357_ & _0358_; + assign _0360_ = _0356_ | _0359_; + assign _0361_ = ~ rs[11]; + assign _0362_ = rs[10] & _0361_; + assign _0363_ = _0360_ | _0362_; + assign _0364_ = rs[5] & rs[11]; + assign _0365_ = _0364_ & rs[3]; + assign _0366_ = ~ rs[7]; + assign _0367_ = _0365_ & _0366_; + assign _0368_ = rs[1] & rs[11]; + assign _0369_ = ~ rs[3]; + assign _0370_ = _0368_ & _0369_; + assign _0371_ = _0367_ | _0370_; + assign _0372_ = ~ rs[11]; + assign _0373_ = rs[9] & _0372_; + assign _0374_ = _0371_ | _0373_; + assign _0375_ = ~ rs[11]; + assign _0376_ = rs[2] & _0375_; + assign _0377_ = _0376_ & rs[7]; + assign _0378_ = ~ rs[3]; + assign _0379_ = _0377_ & _0378_; + assign _0380_ = ~ rs[3]; + assign _0381_ = rs[6] & _0380_; + assign _0382_ = ~ rs[7]; + assign _0383_ = _0381_ & _0382_; + assign _0384_ = _0379_ | _0383_; + assign _0385_ = ~ rs[11]; + assign _0386_ = rs[6] & _0385_; + assign _0387_ = ~ rs[7]; + assign _0388_ = _0386_ & _0387_; + assign _0389_ = _0384_ | _0388_; + assign _0390_ = rs[7] & rs[3]; + assign _0391_ = _0389_ | _0390_; + assign _0392_ = ~ rs[11]; + assign _0393_ = rs[1] & _0392_; + assign _0394_ = _0393_ & rs[7]; + assign _0395_ = ~ rs[3]; + assign _0396_ = _0394_ & _0395_; + assign _0397_ = ~ rs[3]; + assign _0398_ = rs[5] & _0397_; + assign _0399_ = ~ rs[7]; + assign _0400_ = _0398_ & _0399_; + assign _0401_ = _0396_ | _0400_; + assign _0402_ = ~ rs[11]; + assign _0403_ = rs[5] & _0402_; + assign _0404_ = ~ rs[7]; + assign _0405_ = _0403_ & _0404_; + assign _0406_ = _0401_ | _0405_; + assign _0407_ = rs[11] & rs[3]; + assign _0408_ = _0406_ | _0407_; + assign _0409_ = rs[11] | rs[7]; + assign _0410_ = _0409_ | rs[3]; + assign _0411_ = ~ rs[7]; + assign _0412_ = _0411_ & rs[2]; + assign _0413_ = ~ rs[3]; + assign _0414_ = _0412_ & _0413_; + assign _0415_ = rs[7] & rs[3]; + assign _0416_ = _0414_ | _0415_; + assign _0417_ = _0416_ | rs[11]; + assign _0418_ = ~ rs[11]; + assign _0419_ = _0418_ & rs[1]; + assign _0420_ = ~ rs[3]; + assign _0421_ = _0419_ & _0420_; + assign _0422_ = rs[11] & rs[3]; + assign _0423_ = _0421_ | _0422_; + assign _0424_ = _0423_ | rs[7]; + assign _0425_ = ~ rs[48]; + assign _0426_ = _0425_ & rs[45]; + assign _0427_ = _0426_ & rs[44]; + assign _0428_ = rs[47] & rs[45]; + assign _0429_ = _0428_ & rs[44]; + assign _0430_ = _0429_ & rs[48]; + assign _0431_ = _0427_ | _0430_; + assign _0432_ = rs[45] & rs[44]; + assign _0433_ = ~ rs[43]; + assign _0434_ = _0432_ & _0433_; + assign _0435_ = _0431_ | _0434_; + assign _0436_ = rs[51] & rs[48]; + assign _0437_ = _0436_ & rs[43]; + assign _0438_ = ~ rs[47]; + assign _0439_ = _0437_ & _0438_; + assign _0440_ = ~ rs[44]; + assign _0441_ = rs[51] & _0440_; + assign _0442_ = _0439_ | _0441_; + assign _0443_ = ~ rs[45]; + assign _0444_ = rs[51] & _0443_; + assign _0445_ = _0442_ | _0444_; + assign _0446_ = rs[50] & rs[48]; + assign _0447_ = _0446_ & rs[43]; + assign _0448_ = ~ rs[47]; + assign _0449_ = _0447_ & _0448_; + assign _0450_ = ~ rs[44]; + assign _0451_ = rs[50] & _0450_; + assign _0452_ = _0449_ | _0451_; + assign _0453_ = ~ rs[45]; + assign _0454_ = rs[50] & _0453_; + assign _0455_ = _0452_ | _0454_; + assign _0456_ = ~ rs[44]; + assign _0457_ = rs[45] & _0456_; + assign _0458_ = _0457_ & rs[43]; + assign _0459_ = rs[48] & rs[45]; + assign _0460_ = _0459_ & rs[44]; + assign _0461_ = _0460_ & rs[43]; + assign _0462_ = _0458_ | _0461_; + assign _0463_ = ~ rs[47]; + assign _0464_ = _0463_ & rs[45]; + assign _0465_ = _0464_ & rs[44]; + assign _0466_ = _0465_ & rs[43]; + assign _0467_ = _0462_ | _0466_; + assign _0468_ = rs[51] & rs[47]; + assign _0469_ = _0468_ & rs[45]; + assign _0470_ = _0469_ & rs[44]; + assign _0471_ = _0470_ & rs[43]; + assign _0472_ = ~ rs[48]; + assign _0473_ = _0471_ & _0472_; + assign _0474_ = ~ rs[43]; + assign _0475_ = rs[48] & _0474_; + assign _0476_ = _0475_ & rs[45]; + assign _0477_ = _0473_ | _0476_; + assign _0478_ = ~ rs[45]; + assign _0479_ = rs[48] & _0478_; + assign _0480_ = _0477_ | _0479_; + assign _0481_ = rs[50] & rs[47]; + assign _0482_ = _0481_ & rs[44]; + assign _0483_ = _0482_ & rs[45]; + assign _0484_ = _0483_ & rs[43]; + assign _0485_ = ~ rs[48]; + assign _0486_ = _0484_ & _0485_; + assign _0487_ = ~ rs[43]; + assign _0488_ = rs[47] & _0487_; + assign _0489_ = _0488_ & rs[45]; + assign _0490_ = _0486_ | _0489_; + assign _0491_ = ~ rs[45]; + assign _0492_ = rs[47] & _0491_; + assign _0493_ = _0490_ | _0492_; + assign _0494_ = rs[47] & rs[45]; + assign _0495_ = _0494_ & rs[44]; + assign _0496_ = _0495_ & rs[43]; + assign _0497_ = rs[48] & rs[45]; + assign _0498_ = _0497_ & rs[44]; + assign _0499_ = _0498_ & rs[43]; + assign _0500_ = _0496_ | _0499_; + assign _0501_ = ~ rs[44]; + assign _0502_ = rs[45] & _0501_; + assign _0503_ = ~ rs[43]; + assign _0504_ = _0502_ & _0503_; + assign _0505_ = _0500_ | _0504_; + assign _0506_ = ~ rs[48]; + assign _0507_ = rs[51] & _0506_; + assign _0508_ = ~ rs[47]; + assign _0509_ = _0507_ & _0508_; + assign _0510_ = _0509_ & rs[44]; + assign _0511_ = _0510_ & rs[45]; + assign _0512_ = rs[48] & rs[45]; + assign _0513_ = ~ rs[44]; + assign _0514_ = _0512_ & _0513_; + assign _0515_ = _0514_ & rs[43]; + assign _0516_ = _0511_ | _0515_; + assign _0517_ = rs[51] & rs[44]; + assign _0518_ = ~ rs[43]; + assign _0519_ = _0517_ & _0518_; + assign _0520_ = _0519_ & rs[45]; + assign _0521_ = _0516_ | _0520_; + assign _0522_ = ~ rs[45]; + assign _0523_ = rs[44] & _0522_; + assign _0524_ = _0521_ | _0523_; + assign _0525_ = ~ rs[48]; + assign _0526_ = rs[50] & _0525_; + assign _0527_ = ~ rs[47]; + assign _0528_ = _0526_ & _0527_; + assign _0529_ = _0528_ & rs[45]; + assign _0530_ = _0529_ & rs[44]; + assign _0531_ = rs[47] & rs[45]; + assign _0532_ = ~ rs[44]; + assign _0533_ = _0531_ & _0532_; + assign _0534_ = _0533_ & rs[43]; + assign _0535_ = _0530_ | _0534_; + assign _0536_ = rs[50] & rs[45]; + assign _0537_ = _0536_ & rs[44]; + assign _0538_ = ~ rs[43]; + assign _0539_ = _0537_ & _0538_; + assign _0540_ = _0535_ | _0539_; + assign _0541_ = ~ rs[45]; + assign _0542_ = rs[43] & _0541_; + assign _0543_ = _0540_ | _0542_; + assign _0544_ = ~ rs[38]; + assign _0545_ = _0544_ & rs[35]; + assign _0546_ = _0545_ & rs[34]; + assign _0547_ = rs[37] & rs[35]; + assign _0548_ = _0547_ & rs[34]; + assign _0549_ = _0548_ & rs[38]; + assign _0550_ = _0546_ | _0549_; + assign _0551_ = rs[35] & rs[34]; + assign _0552_ = ~ rs[33]; + assign _0553_ = _0551_ & _0552_; + assign _0554_ = _0550_ | _0553_; + assign _0555_ = rs[41] & rs[38]; + assign _0556_ = _0555_ & rs[33]; + assign _0557_ = ~ rs[37]; + assign _0558_ = _0556_ & _0557_; + assign _0559_ = ~ rs[34]; + assign _0560_ = rs[41] & _0559_; + assign _0561_ = _0558_ | _0560_; + assign _0562_ = ~ rs[35]; + assign _0563_ = rs[41] & _0562_; + assign _0564_ = _0561_ | _0563_; + assign _0565_ = rs[40] & rs[38]; + assign _0566_ = _0565_ & rs[33]; + assign _0567_ = ~ rs[37]; + assign _0568_ = _0566_ & _0567_; + assign _0569_ = ~ rs[34]; + assign _0570_ = rs[40] & _0569_; + assign _0571_ = _0568_ | _0570_; + assign _0572_ = ~ rs[35]; + assign _0573_ = rs[40] & _0572_; + assign _0574_ = _0571_ | _0573_; + assign _0575_ = ~ rs[34]; + assign _0576_ = rs[35] & _0575_; + assign _0577_ = _0576_ & rs[33]; + assign _0578_ = rs[38] & rs[35]; + assign _0579_ = _0578_ & rs[34]; + assign _0580_ = _0579_ & rs[33]; + assign _0581_ = _0577_ | _0580_; + assign _0582_ = ~ rs[37]; + assign _0583_ = _0582_ & rs[35]; + assign _0584_ = _0583_ & rs[34]; + assign _0585_ = _0584_ & rs[33]; + assign _0586_ = _0581_ | _0585_; + assign _0587_ = rs[41] & rs[37]; + assign _0588_ = _0587_ & rs[35]; + assign _0589_ = _0588_ & rs[34]; + assign _0590_ = _0589_ & rs[33]; + assign _0591_ = ~ rs[38]; + assign _0592_ = _0590_ & _0591_; + assign _0593_ = ~ rs[33]; + assign _0594_ = rs[38] & _0593_; + assign _0595_ = _0594_ & rs[35]; + assign _0596_ = _0592_ | _0595_; + assign _0597_ = ~ rs[35]; + assign _0598_ = rs[38] & _0597_; + assign _0599_ = _0596_ | _0598_; + assign _0600_ = rs[40] & rs[37]; + assign _0601_ = _0600_ & rs[34]; + assign _0602_ = _0601_ & rs[35]; + assign _0603_ = _0602_ & rs[33]; + assign _0604_ = ~ rs[38]; + assign _0605_ = _0603_ & _0604_; + assign _0606_ = ~ rs[33]; + assign _0607_ = rs[37] & _0606_; + assign _0608_ = _0607_ & rs[35]; + assign _0609_ = _0605_ | _0608_; + assign _0610_ = ~ rs[35]; + assign _0611_ = rs[37] & _0610_; + assign _0612_ = _0609_ | _0611_; + assign _0613_ = rs[37] & rs[35]; + assign _0614_ = _0613_ & rs[34]; + assign _0615_ = _0614_ & rs[33]; + assign _0616_ = rs[38] & rs[35]; + assign _0617_ = _0616_ & rs[34]; + assign _0618_ = _0617_ & rs[33]; + assign _0619_ = _0615_ | _0618_; + assign _0620_ = ~ rs[34]; + assign _0621_ = rs[35] & _0620_; + assign _0622_ = ~ rs[33]; + assign _0623_ = _0621_ & _0622_; + assign _0624_ = _0619_ | _0623_; + assign _0625_ = ~ rs[38]; + assign _0626_ = rs[41] & _0625_; + assign _0627_ = ~ rs[37]; + assign _0628_ = _0626_ & _0627_; + assign _0629_ = _0628_ & rs[34]; + assign _0630_ = _0629_ & rs[35]; + assign _0631_ = rs[38] & rs[35]; + assign _0632_ = ~ rs[34]; + assign _0633_ = _0631_ & _0632_; + assign _0634_ = _0633_ & rs[33]; + assign _0635_ = _0630_ | _0634_; + assign _0636_ = rs[41] & rs[34]; + assign _0637_ = ~ rs[33]; + assign _0638_ = _0636_ & _0637_; + assign _0639_ = _0638_ & rs[35]; + assign _0640_ = _0635_ | _0639_; + assign _0641_ = ~ rs[35]; + assign _0642_ = rs[34] & _0641_; + assign _0643_ = _0640_ | _0642_; + assign _0644_ = ~ rs[38]; + assign _0645_ = rs[40] & _0644_; + assign _0646_ = ~ rs[37]; + assign _0647_ = _0645_ & _0646_; + assign _0648_ = _0647_ & rs[35]; + assign _0649_ = _0648_ & rs[34]; + assign _0650_ = rs[37] & rs[35]; + assign _0651_ = ~ rs[34]; + assign _0652_ = _0650_ & _0651_; + assign _0653_ = _0652_ & rs[33]; + assign _0654_ = _0649_ | _0653_; + assign _0655_ = rs[40] & rs[35]; + assign _0656_ = _0655_ & rs[34]; + assign _0657_ = ~ rs[33]; + assign _0658_ = _0656_ & _0657_; + assign _0659_ = _0654_ | _0658_; + assign _0660_ = ~ rs[35]; + assign _0661_ = rs[33] & _0660_; + assign _0662_ = _0659_ | _0661_; + assign _0663_ = ~ rs[16]; + assign _0664_ = _0663_ & rs[13]; + assign _0665_ = _0664_ & rs[12]; + assign _0666_ = rs[15] & rs[13]; + assign _0667_ = _0666_ & rs[12]; + assign _0668_ = _0667_ & rs[16]; + assign _0669_ = _0665_ | _0668_; + assign _0670_ = rs[13] & rs[12]; + assign _0671_ = ~ rs[11]; + assign _0672_ = _0670_ & _0671_; + assign _0673_ = _0669_ | _0672_; + assign _0674_ = rs[19] & rs[16]; + assign _0675_ = _0674_ & rs[11]; + assign _0676_ = ~ rs[15]; + assign _0677_ = _0675_ & _0676_; + assign _0678_ = ~ rs[12]; + assign _0679_ = rs[19] & _0678_; + assign _0680_ = _0677_ | _0679_; + assign _0681_ = ~ rs[13]; + assign _0682_ = rs[19] & _0681_; + assign _0683_ = _0680_ | _0682_; + assign _0684_ = rs[18] & rs[16]; + assign _0685_ = _0684_ & rs[11]; + assign _0686_ = ~ rs[15]; + assign _0687_ = _0685_ & _0686_; + assign _0688_ = ~ rs[12]; + assign _0689_ = rs[18] & _0688_; + assign _0690_ = _0687_ | _0689_; + assign _0691_ = ~ rs[13]; + assign _0692_ = rs[18] & _0691_; + assign _0693_ = _0690_ | _0692_; + assign _0694_ = ~ rs[12]; + assign _0695_ = rs[13] & _0694_; + assign _0696_ = _0695_ & rs[11]; + assign _0697_ = rs[16] & rs[13]; + assign _0698_ = _0697_ & rs[12]; + assign _0699_ = _0698_ & rs[11]; + assign _0700_ = _0696_ | _0699_; + assign _0701_ = ~ rs[15]; + assign _0702_ = _0701_ & rs[13]; + assign _0703_ = _0702_ & rs[12]; + assign _0704_ = _0703_ & rs[11]; + assign _0705_ = _0700_ | _0704_; + assign _0706_ = rs[19] & rs[15]; + assign _0707_ = _0706_ & rs[13]; + assign _0708_ = _0707_ & rs[12]; + assign _0709_ = _0708_ & rs[11]; + assign _0710_ = ~ rs[16]; + assign _0711_ = _0709_ & _0710_; + assign _0712_ = ~ rs[11]; + assign _0713_ = rs[16] & _0712_; + assign _0714_ = _0713_ & rs[13]; + assign _0715_ = _0711_ | _0714_; + assign _0716_ = ~ rs[13]; + assign _0717_ = rs[16] & _0716_; + assign _0718_ = _0715_ | _0717_; + assign _0719_ = rs[18] & rs[15]; + assign _0720_ = _0719_ & rs[12]; + assign _0721_ = _0720_ & rs[13]; + assign _0722_ = _0721_ & rs[11]; + assign _0723_ = ~ rs[16]; + assign _0724_ = _0722_ & _0723_; + assign _0725_ = ~ rs[11]; + assign _0726_ = rs[15] & _0725_; + assign _0727_ = _0726_ & rs[13]; + assign _0728_ = _0724_ | _0727_; + assign _0729_ = ~ rs[13]; + assign _0730_ = rs[15] & _0729_; + assign _0731_ = _0728_ | _0730_; + assign _0732_ = rs[15] & rs[13]; + assign _0733_ = _0732_ & rs[12]; + assign _0734_ = _0733_ & rs[11]; + assign _0735_ = rs[16] & rs[13]; + assign _0736_ = _0735_ & rs[12]; + assign _0737_ = _0736_ & rs[11]; + assign _0738_ = _0734_ | _0737_; + assign _0739_ = ~ rs[12]; + assign _0740_ = rs[13] & _0739_; + assign _0741_ = ~ rs[11]; + assign _0742_ = _0740_ & _0741_; + assign _0743_ = _0738_ | _0742_; + assign _0744_ = ~ rs[16]; + assign _0745_ = rs[19] & _0744_; + assign _0746_ = ~ rs[15]; + assign _0747_ = _0745_ & _0746_; + assign _0748_ = _0747_ & rs[12]; + assign _0749_ = _0748_ & rs[13]; + assign _0750_ = rs[16] & rs[13]; + assign _0751_ = ~ rs[12]; + assign _0752_ = _0750_ & _0751_; + assign _0753_ = _0752_ & rs[11]; + assign _0754_ = _0749_ | _0753_; + assign _0755_ = rs[19] & rs[12]; + assign _0756_ = ~ rs[11]; + assign _0757_ = _0755_ & _0756_; + assign _0758_ = _0757_ & rs[13]; + assign _0759_ = _0754_ | _0758_; + assign _0760_ = ~ rs[13]; + assign _0761_ = rs[12] & _0760_; + assign _0762_ = _0759_ | _0761_; + assign _0763_ = ~ rs[16]; + assign _0764_ = rs[18] & _0763_; + assign _0765_ = ~ rs[15]; + assign _0766_ = _0764_ & _0765_; + assign _0767_ = _0766_ & rs[13]; + assign _0768_ = _0767_ & rs[12]; + assign _0769_ = rs[15] & rs[13]; + assign _0770_ = ~ rs[12]; + assign _0771_ = _0769_ & _0770_; + assign _0772_ = _0771_ & rs[11]; + assign _0773_ = _0768_ | _0772_; + assign _0774_ = rs[18] & rs[13]; + assign _0775_ = _0774_ & rs[12]; + assign _0776_ = ~ rs[11]; + assign _0777_ = _0775_ & _0776_; + assign _0778_ = _0773_ | _0777_; + assign _0779_ = ~ rs[13]; + assign _0780_ = rs[11] & _0779_; + assign _0781_ = _0778_ | _0780_; + assign _0782_ = ~ rs[6]; + assign _0783_ = _0782_ & rs[3]; + assign _0784_ = _0783_ & rs[2]; + assign _0785_ = rs[5] & rs[3]; + assign _0786_ = _0785_ & rs[2]; + assign _0787_ = _0786_ & rs[6]; + assign _0788_ = _0784_ | _0787_; + assign _0789_ = rs[3] & rs[2]; + assign _0790_ = ~ rs[1]; + assign _0791_ = _0789_ & _0790_; + assign _0792_ = _0788_ | _0791_; + assign _0793_ = rs[9] & rs[6]; + assign _0794_ = _0793_ & rs[1]; + assign _0795_ = ~ rs[5]; + assign _0796_ = _0794_ & _0795_; + assign _0797_ = ~ rs[2]; + assign _0798_ = rs[9] & _0797_; + assign _0799_ = _0796_ | _0798_; + assign _0800_ = ~ rs[3]; + assign _0801_ = rs[9] & _0800_; + assign _0802_ = _0799_ | _0801_; + assign _0803_ = rs[8] & rs[6]; + assign _0804_ = _0803_ & rs[1]; + assign _0805_ = ~ rs[5]; + assign _0806_ = _0804_ & _0805_; + assign _0807_ = ~ rs[2]; + assign _0808_ = rs[8] & _0807_; + assign _0809_ = _0806_ | _0808_; + assign _0810_ = ~ rs[3]; + assign _0811_ = rs[8] & _0810_; + assign _0812_ = _0809_ | _0811_; + assign _0813_ = ~ rs[2]; + assign _0814_ = rs[3] & _0813_; + assign _0815_ = _0814_ & rs[1]; + assign _0816_ = rs[6] & rs[3]; + assign _0817_ = _0816_ & rs[2]; + assign _0818_ = _0817_ & rs[1]; + assign _0819_ = _0815_ | _0818_; + assign _0820_ = ~ rs[5]; + assign _0821_ = _0820_ & rs[3]; + assign _0822_ = _0821_ & rs[2]; + assign _0823_ = _0822_ & rs[1]; + assign _0824_ = _0819_ | _0823_; + assign _0825_ = rs[9] & rs[5]; + assign _0826_ = _0825_ & rs[3]; + assign _0827_ = _0826_ & rs[2]; + assign _0828_ = _0827_ & rs[1]; + assign _0829_ = ~ rs[6]; + assign _0830_ = _0828_ & _0829_; + assign _0831_ = ~ rs[1]; + assign _0832_ = rs[6] & _0831_; + assign _0833_ = _0832_ & rs[3]; + assign _0834_ = _0830_ | _0833_; + assign _0835_ = ~ rs[3]; + assign _0836_ = rs[6] & _0835_; + assign _0837_ = _0834_ | _0836_; + assign _0838_ = rs[8] & rs[5]; + assign _0839_ = _0838_ & rs[2]; + assign _0840_ = _0839_ & rs[3]; + assign _0841_ = _0840_ & rs[1]; + assign _0842_ = ~ rs[6]; + assign _0843_ = _0841_ & _0842_; + assign _0844_ = ~ rs[1]; + assign _0845_ = rs[5] & _0844_; + assign _0846_ = _0845_ & rs[3]; + assign _0847_ = _0843_ | _0846_; + assign _0848_ = ~ rs[3]; + assign _0849_ = rs[5] & _0848_; + assign _0850_ = _0847_ | _0849_; + assign _0851_ = rs[5] & rs[3]; + assign _0852_ = _0851_ & rs[2]; + assign _0853_ = _0852_ & rs[1]; + assign _0854_ = rs[6] & rs[3]; + assign _0855_ = _0854_ & rs[2]; + assign _0856_ = _0855_ & rs[1]; + assign _0857_ = _0853_ | _0856_; + assign _0858_ = ~ rs[2]; + assign _0859_ = rs[3] & _0858_; + assign _0860_ = ~ rs[1]; + assign _0861_ = _0859_ & _0860_; + assign _0862_ = _0857_ | _0861_; + assign _0863_ = ~ rs[6]; + assign _0864_ = rs[9] & _0863_; + assign _0865_ = ~ rs[5]; + assign _0866_ = _0864_ & _0865_; + assign _0867_ = _0866_ & rs[2]; + assign _0868_ = _0867_ & rs[3]; + assign _0869_ = rs[6] & rs[3]; + assign _0870_ = ~ rs[2]; + assign _0871_ = _0869_ & _0870_; + assign _0872_ = _0871_ & rs[1]; + assign _0873_ = _0868_ | _0872_; + assign _0874_ = rs[9] & rs[2]; + assign _0875_ = ~ rs[1]; + assign _0876_ = _0874_ & _0875_; + assign _0877_ = _0876_ & rs[3]; + assign _0878_ = _0873_ | _0877_; + assign _0879_ = ~ rs[3]; + assign _0880_ = rs[2] & _0879_; + assign _0881_ = _0878_ | _0880_; + assign _0882_ = ~ rs[6]; + assign _0883_ = rs[8] & _0882_; + assign _0884_ = ~ rs[5]; + assign _0885_ = _0883_ & _0884_; + assign _0886_ = _0885_ & rs[3]; + assign _0887_ = _0886_ & rs[2]; + assign _0888_ = rs[5] & rs[3]; + assign _0889_ = ~ rs[2]; + assign _0890_ = _0888_ & _0889_; + assign _0891_ = _0890_ & rs[1]; + assign _0892_ = _0887_ | _0891_; + assign _0893_ = rs[8] & rs[3]; + assign _0894_ = _0893_ & rs[2]; + assign _0895_ = ~ rs[1]; + assign _0896_ = _0894_ & _0895_; + assign _0897_ = _0892_ | _0896_; + assign _0898_ = ~ rs[3]; + assign _0899_ = rs[1] & _0898_; + assign _0900_ = _0897_ | _0899_; + assign _0901_ = _0136_ ? { 12'h000, _0147_, _0158_, rs[52], _0175_, _0192_, rs[48], _0194_, _0201_, _0208_, rs[44], _0219_, _0230_, rs[40], _0247_, _0264_, rs[36], _0266_, _0273_, _0280_, rs[32], 12'h000, _0291_, _0302_, rs[20], _0319_, _0336_, rs[16], _0338_, _0345_, _0352_, rs[12], _0363_, _0374_, rs[8], _0391_, _0408_, rs[4], _0410_, _0417_, _0424_, rs[0] } : { 8'h00, _0435_, _0445_, _0455_, rs[49], _0467_, _0480_, _0493_, rs[46], _0505_, _0524_, _0543_, rs[42], _0554_, _0564_, _0574_, rs[39], _0586_, _0599_, _0612_, rs[36], _0624_, _0643_, _0662_, rs[32], 8'h00, _0673_, _0683_, _0693_, rs[17], _0705_, _0718_, _0731_, rs[14], _0743_, _0762_, _0781_, rs[10], _0792_, _0802_, _0812_, rs[7], _0824_, _0837_, _0850_, rs[4], _0862_, _0881_, _0900_, rs[0] }; + assign _0902_ = op == 6'h3d; + assign _0903_ = datalen[0] & rs[7]; + assign _0904_ = datalen[1] & rs[15]; + assign _0905_ = _0903_ | _0904_; + assign _0906_ = datalen[2] & rs[31]; + assign _0907_ = _0905_ | _0906_; + assign _0908_ = datalen[2] ? rs[31:16] : { _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_ }; + assign _0909_ = datalen[2] | datalen[1]; + assign _0910_ = _0909_ ? rs[15:8] : { _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_ }; + function [7:0] \23747 ; + input [7:0] a; + input [47:0] b; + input [5:0] s; + (* parallel_case *) + casez (s) + 6'b?????1: + \23747 = b[7:0]; + 6'b????1?: + \23747 = b[15:8]; + 6'b???1??: + \23747 = b[23:16]; + 6'b??1???: + \23747 = b[31:24]; + 6'b?1????: + \23747 = b[39:32]; + 6'b1?????: + \23747 = b[47:40]; + default: + \23747 = a; + endcase + endfunction + assign _0911_ = \23747 (rs[7:0], { _0901_[7:0], _0100_, _0098_, _0096_, _0094_, _0092_, _0090_, _0088_, _0086_, _0119_, 7'h00, _0083_, 1'h0, _0069_, _0068_, _0110_[7:0] }, { _0902_, _0135_, _0134_, _0117_, _0116_, _0115_ }); + function [7:0] \23754 ; + input [7:0] a; + input [47:0] b; + input [5:0] s; + (* parallel_case *) + casez (s) + 6'b?????1: + \23754 = b[7:0]; + 6'b????1?: + \23754 = b[15:8]; + 6'b???1??: + \23754 = b[23:16]; + 6'b??1???: + \23754 = b[31:24]; + 6'b?1????: + \23754 = b[39:32]; + 6'b1?????: + \23754 = b[47:40]; + default: + \23754 = a; + endcase + endfunction + assign _0912_ = \23754 (_0910_, { _0901_[15:8], 8'h00, _0121_, 12'h000, _0070_, _0110_[15:8] }, { _0902_, _0135_, _0134_, _0117_, _0116_, _0115_ }); + function [15:0] \23761 ; + input [15:0] a; + input [95:0] b; + input [5:0] s; + (* parallel_case *) + casez (s) + 6'b?????1: + \23761 = b[15:0]; + 6'b????1?: + \23761 = b[31:16]; + 6'b???1??: + \23761 = b[47:32]; + 6'b??1???: + \23761 = b[63:48]; + 6'b?1????: + \23761 = b[79:64]; + 6'b1?????: + \23761 = b[95:80]; + default: + \23761 = a; + endcase + endfunction + assign _0913_ = \23761 (_0908_, { _0901_[31:16], 16'h0000, _0125_, _0123_, 20'h00000, _0072_, 4'h0, _0071_, _0110_[31:16] }, { _0902_, _0135_, _0134_, _0117_, _0116_, _0115_ }); + function [31:0] \23768 ; + input [31:0] a; + input [191:0] b; + input [5:0] s; + (* parallel_case *) + casez (s) + 6'b?????1: + \23768 = b[31:0]; + 6'b????1?: + \23768 = b[63:32]; + 6'b???1??: + \23768 = b[95:64]; + 6'b??1???: + \23768 = b[127:96]; + 6'b?1????: + \23768 = b[159:128]; + 6'b1?????: + \23768 = b[191:160]; + default: + \23768 = a; + endcase + endfunction + assign _0914_ = \23768 ({ _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_, _0907_ }, { _0901_[63:32], 32'h00000000, _0133_, _0131_, _0129_, _0127_, 31'h00000000, _0084_, 4'h0, _0077_, 4'h0, _0076_, 4'h0, _0075_, 2'h0, _0074_, _0073_, _0110_[63:32] }, { _0902_, _0135_, _0134_, _0117_, _0116_, _0115_ }); + assign result = { _0914_, _0913_, _0912_, _0911_ }; +endmodule + +module main_bram_64_10_4096_a75adb9e07879fb6c63b494abe06e3f9a6bb2ed9( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + clk, addr, di, sel, re, we, \do ); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire _0_; + reg [63:0] _1_; + input [9:0] addr; + input clk; + input [63:0] di; + output [63:0] \do ; + wire [63:0] obuf; + input re; + input [7:0] sel; + wire [7:0] sel_qual; + input we; + assign sel_qual = we ? sel : 8'h00; + assign _0_ = re | we; + always @(posedge clk) + _1_ <= obuf; + RAM_512x64 memory_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .A(addr[8:0]), + .CLK(clk), + .Di(di), + .Do(obuf), + .EN(_0_), + .WE(sel_qual) + ); + assign \do = _1_; +endmodule + +module mc_32_64_8_2_6fe71f186fa9a2db88063728b6660dc449d010db(clk, rst, wb_cyc, wb_stb, wb_we, wb_addr, wb_wr_data, wb_sel, ib_data, ib_pty, wb_ack, wb_err, wb_stall, wb_rd_data, oib_clk, ob_data, ob_pty, err, \int ); + wire _0000_; + wire _0001_; + wire _0002_; + wire _0003_; + wire _0004_; + wire _0005_; + wire _0006_; + wire _0007_; + wire _0008_; + wire _0009_; + wire _0010_; + wire _0011_; + wire _0012_; + wire _0013_; + wire _0014_; + wire _0015_; + wire _0016_; + wire _0017_; + wire _0018_; + wire _0019_; + wire _0020_; + wire _0021_; + wire _0022_; + wire _0023_; + wire _0024_; + wire _0025_; + wire _0026_; + wire _0027_; + wire _0028_; + wire _0029_; + wire _0030_; + wire _0031_; + wire _0032_; + wire _0033_; + wire _0034_; + wire _0035_; + wire _0036_; + wire _0037_; + wire _0038_; + wire _0039_; + wire _0040_; + wire _0041_; + wire _0042_; + wire _0043_; + wire _0044_; + wire _0045_; + wire _0046_; + wire _0047_; + wire _0048_; + wire _0049_; + wire _0050_; + wire _0051_; + wire _0052_; + wire _0053_; + wire _0054_; + wire _0055_; + wire _0056_; + wire _0057_; + wire _0058_; + wire _0059_; + wire _0060_; + wire _0061_; + wire _0062_; + wire _0063_; + wire _0064_; + wire _0065_; + wire _0066_; + wire _0067_; + wire _0068_; + wire _0069_; + wire _0070_; + wire _0071_; + wire _0072_; + wire _0073_; + wire _0074_; + wire _0075_; + wire _0076_; + wire _0077_; + wire _0078_; + wire _0079_; + wire _0080_; + wire _0081_; + wire _0082_; + wire _0083_; + wire _0084_; + wire _0085_; + wire _0086_; + wire _0087_; + wire _0088_; + wire _0089_; + wire _0090_; + wire _0091_; + wire _0092_; + wire _0093_; + wire _0094_; + wire _0095_; + wire _0096_; + wire _0097_; + wire _0098_; + wire _0099_; + wire _0100_; + wire _0101_; + wire _0102_; + wire _0103_; + wire _0104_; + wire _0105_; + wire _0106_; + wire _0107_; + wire _0108_; + wire _0109_; + wire _0110_; + wire _0111_; + wire _0112_; + wire _0113_; + wire _0114_; + wire _0115_; + wire _0116_; + wire _0117_; + wire _0118_; + wire _0119_; + wire _0120_; + wire _0121_; + wire _0122_; + wire _0123_; + wire _0124_; + wire _0125_; + wire _0126_; + wire _0127_; + wire _0128_; + wire _0129_; + wire _0130_; + wire _0131_; + wire _0132_; + wire _0133_; + wire _0134_; + wire _0135_; + wire _0136_; + wire _0137_; + wire _0138_; + wire _0139_; + wire _0140_; + wire _0141_; + wire _0142_; + wire _0143_; + wire _0144_; + wire _0145_; + wire _0146_; + wire _0147_; + wire _0148_; + wire _0149_; + wire _0150_; + wire _0151_; + wire _0152_; + wire _0153_; + wire _0154_; + wire _0155_; + wire _0156_; + wire _0157_; + wire _0158_; + wire _0159_; + wire _0160_; + wire _0161_; + wire _0162_; + wire _0163_; + wire _0164_; + wire _0165_; + wire _0166_; + wire _0167_; + wire _0168_; + wire _0169_; + wire _0170_; + wire _0171_; + wire _0172_; + wire _0173_; + wire _0174_; + wire _0175_; + wire _0176_; + wire _0177_; + wire _0178_; + wire _0179_; + wire _0180_; + wire _0181_; + wire _0182_; + wire _0183_; + wire _0184_; + wire _0185_; + wire _0186_; + wire _0187_; + wire _0188_; + wire _0189_; + wire _0190_; + wire _0191_; + wire _0192_; + wire _0193_; + wire _0194_; + wire _0195_; + wire _0196_; + wire _0197_; + wire _0198_; + wire _0199_; + wire _0200_; + wire _0201_; + wire _0202_; + wire _0203_; + wire _0204_; + wire _0205_; + wire _0206_; + wire _0207_; + wire _0208_; + wire _0209_; + wire _0210_; + wire _0211_; + wire _0212_; + wire _0213_; + wire _0214_; + wire _0215_; + wire _0216_; + wire _0217_; + wire _0218_; + wire _0219_; + wire _0220_; + wire _0221_; + wire _0222_; + wire _0223_; + wire _0224_; + wire _0225_; + wire _0226_; + wire _0227_; + wire _0228_; + wire _0229_; + wire _0230_; + wire _0231_; + wire _0232_; + wire _0233_; + wire _0234_; + wire _0235_; + wire _0236_; + wire _0237_; + wire _0238_; + wire _0239_; + wire _0240_; + wire _0241_; + wire _0242_; + wire _0243_; + wire _0244_; + wire _0245_; + wire _0246_; + wire _0247_; + wire _0248_; + wire _0249_; + wire _0250_; + wire _0251_; + wire _0252_; + wire _0253_; + wire _0254_; + wire _0255_; + wire _0256_; + wire _0257_; + wire _0258_; + wire _0259_; + wire _0260_; + wire _0261_; + wire _0262_; + wire _0263_; + wire _0264_; + wire _0265_; + wire _0266_; + wire _0267_; + wire _0268_; + wire _0269_; + wire _0270_; + wire _0271_; + wire _0272_; + wire _0273_; + wire _0274_; + wire _0275_; + wire _0276_; + wire _0277_; + wire _0278_; + wire _0279_; + wire _0280_; + wire _0281_; + wire _0282_; + wire _0283_; + wire _0284_; + wire _0285_; + wire _0286_; + wire _0287_; + wire _0288_; + wire _0289_; + wire _0290_; + wire _0291_; + wire _0292_; + wire _0293_; + wire _0294_; + wire _0295_; + wire _0296_; + wire _0297_; + wire _0298_; + wire _0299_; + wire _0300_; + wire _0301_; + wire _0302_; + wire _0303_; + wire _0304_; + wire _0305_; + wire _0306_; + wire _0307_; + wire _0308_; + wire _0309_; + wire _0310_; + wire _0311_; + wire _0312_; + wire _0313_; + wire _0314_; + wire _0315_; + wire _0316_; + wire _0317_; + wire _0318_; + wire _0319_; + wire _0320_; + wire _0321_; + wire _0322_; + wire _0323_; + wire _0324_; + wire _0325_; + wire _0326_; + wire _0327_; + wire _0328_; + wire _0329_; + wire _0330_; + wire _0331_; + wire _0332_; + wire _0333_; + wire _0334_; + wire _0335_; + wire _0336_; + wire _0337_; + wire _0338_; + wire _0339_; + wire _0340_; + wire _0341_; + wire _0342_; + wire _0343_; + wire _0344_; + wire _0345_; + wire _0346_; + wire _0347_; + wire _0348_; + wire _0349_; + wire _0350_; + wire _0351_; + wire _0352_; + wire _0353_; + wire _0354_; + wire _0355_; + wire _0356_; + wire _0357_; + wire _0358_; + wire _0359_; + wire _0360_; + wire _0361_; + wire _0362_; + wire _0363_; + wire _0364_; + wire _0365_; + wire _0366_; + wire _0367_; + wire _0368_; + wire _0369_; + wire _0370_; + wire _0371_; + wire _0372_; + wire _0373_; + wire _0374_; + wire _0375_; + wire _0376_; + wire _0377_; + wire _0378_; + wire _0379_; + wire _0380_; + wire _0381_; + wire _0382_; + wire _0383_; + wire _0384_; + wire _0385_; + wire _0386_; + wire _0387_; + wire _0388_; + wire _0389_; + wire _0390_; + wire _0391_; + wire _0392_; + wire _0393_; + wire _0394_; + wire _0395_; + wire _0396_; + wire _0397_; + wire _0398_; + wire _0399_; + wire _0400_; + wire _0401_; + wire _0402_; + wire _0403_; + wire _0404_; + wire _0405_; + wire _0406_; + wire _0407_; + wire _0408_; + wire _0409_; + wire _0410_; + wire _0411_; + wire _0412_; + wire _0413_; + wire _0414_; + wire _0415_; + wire _0416_; + wire _0417_; + wire _0418_; + wire _0419_; + wire _0420_; + wire _0421_; + wire _0422_; + wire _0423_; + wire _0424_; + wire _0425_; + wire _0426_; + wire _0427_; + wire _0428_; + wire _0429_; + wire _0430_; + wire _0431_; + wire _0432_; + wire _0433_; + wire _0434_; + wire _0435_; + wire _0436_; + wire _0437_; + wire _0438_; + wire _0439_; + wire _0440_; + wire _0441_; + wire _0442_; + wire _0443_; + wire _0444_; + wire _0445_; + wire _0446_; + wire _0447_; + wire _0448_; + wire _0449_; + wire _0450_; + wire _0451_; + wire _0452_; + wire _0453_; + wire _0454_; + wire _0455_; + wire _0456_; + wire _0457_; + wire _0458_; + wire _0459_; + wire _0460_; + wire _0461_; + wire _0462_; + wire _0463_; + wire _0464_; + wire _0465_; + wire _0466_; + wire _0467_; + wire _0468_; + wire _0469_; + wire _0470_; + wire _0471_; + wire _0472_; + wire _0473_; + wire _0474_; + wire _0475_; + wire _0476_; + wire _0477_; + wire _0478_; + wire _0479_; + wire _0480_; + wire _0481_; + wire _0482_; + wire _0483_; + wire _0484_; + wire _0485_; + wire _0486_; + wire _0487_; + wire _0488_; + wire _0489_; + wire _0490_; + wire _0491_; + wire _0492_; + wire _0493_; + wire _0494_; + wire _0495_; + wire _0496_; + wire _0497_; + wire _0498_; + wire _0499_; + wire _0500_; + wire _0501_; + wire _0502_; + wire _0503_; + wire _0504_; + wire _0505_; + wire _0506_; + wire _0507_; + wire _0508_; + wire _0509_; + wire _0510_; + wire _0511_; + wire _0512_; + wire _0513_; + wire _0514_; + wire _0515_; + wire _0516_; + wire _0517_; + wire _0518_; + wire _0519_; + wire _0520_; + wire _0521_; + wire _0522_; + wire _0523_; + wire _0524_; + wire _0525_; + wire _0526_; + wire _0527_; + wire _0528_; + wire _0529_; + wire _0530_; + wire _0531_; + wire _0532_; + wire _0533_; + wire _0534_; + wire _0535_; + wire _0536_; + wire _0537_; + wire _0538_; + wire _0539_; + wire _0540_; + wire _0541_; + wire _0542_; + wire _0543_; + wire _0544_; + wire _0545_; + wire _0546_; + wire _0547_; + wire _0548_; + wire _0549_; + wire _0550_; + wire _0551_; + wire _0552_; + wire _0553_; + wire _0554_; + wire _0555_; + wire _0556_; + wire _0557_; + wire _0558_; + wire _0559_; + wire _0560_; + wire _0561_; + wire _0562_; + wire _0563_; + wire _0564_; + wire _0565_; + wire _0566_; + wire _0567_; + wire _0568_; + wire _0569_; + wire _0570_; + wire _0571_; + wire _0572_; + wire _0573_; + wire _0574_; + wire _0575_; + wire _0576_; + wire _0577_; + wire _0578_; + wire _0579_; + wire _0580_; + wire _0581_; + wire _0582_; + wire _0583_; + wire _0584_; + wire _0585_; + wire _0586_; + wire _0587_; + wire _0588_; + wire _0589_; + wire _0590_; + wire _0591_; + wire _0592_; + wire _0593_; + wire _0594_; + wire _0595_; + wire _0596_; + wire _0597_; + wire _0598_; + wire _0599_; + wire _0600_; + wire _0601_; + wire _0602_; + wire _0603_; + wire _0604_; + wire _0605_; + wire _0606_; + wire _0607_; + wire _0608_; + wire _0609_; + wire _0610_; + wire _0611_; + wire _0612_; + wire _0613_; + wire _0614_; + wire _0615_; + wire _0616_; + wire _0617_; + wire _0618_; + wire _0619_; + wire _0620_; + wire _0621_; + wire _0622_; + wire _0623_; + wire _0624_; + wire _0625_; + wire _0626_; + wire _0627_; + wire _0628_; + wire _0629_; + wire _0630_; + wire _0631_; + wire _0632_; + wire _0633_; + wire _0634_; + wire _0635_; + wire _0636_; + wire _0637_; + wire _0638_; + wire _0639_; + wire _0640_; + wire _0641_; + wire _0642_; + wire _0643_; + wire _0644_; + wire _0645_; + wire _0646_; + wire _0647_; + wire _0648_; + wire _0649_; + wire _0650_; + wire _0651_; + wire _0652_; + wire _0653_; + wire _0654_; + wire _0655_; + wire _0656_; + wire _0657_; + wire _0658_; + wire _0659_; + wire _0660_; + wire _0661_; + wire _0662_; + wire _0663_; + wire _0664_; + wire _0665_; + wire _0666_; + wire _0667_; + wire _0668_; + wire _0669_; + wire _0670_; + wire _0671_; + wire _0672_; + wire _0673_; + wire _0674_; + wire _0675_; + wire _0676_; + wire _0677_; + wire _0678_; + wire _0679_; + wire _0680_; + wire _0681_; + wire _0682_; + wire _0683_; + wire _0684_; + wire _0685_; + wire _0686_; + wire _0687_; + wire _0688_; + wire _0689_; + wire _0690_; + wire _0691_; + wire _0692_; + wire _0693_; + wire _0694_; + wire _0695_; + wire _0696_; + wire _0697_; + wire _0698_; + wire _0699_; + wire _0700_; + wire _0701_; + wire _0702_; + wire _0703_; + wire _0704_; + wire _0705_; + wire _0706_; + wire _0707_; + wire _0708_; + wire _0709_; + wire _0710_; + wire _0711_; + wire _0712_; + wire _0713_; + wire _0714_; + wire _0715_; + wire _0716_; + wire _0717_; + wire _0718_; + wire _0719_; + wire _0720_; + wire _0721_; + wire _0722_; + wire _0723_; + wire _0724_; + wire _0725_; + wire _0726_; + wire _0727_; + wire _0728_; + wire _0729_; + wire _0730_; + wire _0731_; + wire _0732_; + wire _0733_; + wire _0734_; + wire _0735_; + wire _0736_; + wire _0737_; + wire _0738_; + wire _0739_; + wire _0740_; + wire _0741_; + wire _0742_; + wire _0743_; + wire _0744_; + wire _0745_; + wire _0746_; + wire _0747_; + wire _0748_; + wire _0749_; + wire _0750_; + wire _0751_; + wire _0752_; + wire _0753_; + wire _0754_; + wire _0755_; + wire _0756_; + wire _0757_; + wire _0758_; + wire _0759_; + wire _0760_; + wire _0761_; + wire _0762_; + wire _0763_; + wire _0764_; + wire _0765_; + wire _0766_; + wire _0767_; + wire _0768_; + wire _0769_; + wire _0770_; + wire _0771_; + wire _0772_; + wire _0773_; + wire _0774_; + wire _0775_; + wire _0776_; + wire _0777_; + wire _0778_; + wire _0779_; + wire _0780_; + wire _0781_; + wire _0782_; + wire _0783_; + wire _0784_; + wire _0785_; + wire _0786_; + wire _0787_; + wire _0788_; + wire _0789_; + wire _0790_; + wire _0791_; + wire _0792_; + wire _0793_; + wire _0794_; + wire _0795_; + wire _0796_; + wire _0797_; + wire _0798_; + wire _0799_; + wire _0800_; + wire _0801_; + wire _0802_; + wire _0803_; + wire _0804_; + wire _0805_; + wire _0806_; + wire _0807_; + wire _0808_; + wire _0809_; + wire _0810_; + wire _0811_; + wire _0812_; + wire _0813_; + wire _0814_; + wire _0815_; + wire _0816_; + wire _0817_; + wire _0818_; + wire _0819_; + wire _0820_; + wire _0821_; + wire _0822_; + wire _0823_; + wire _0824_; + wire _0825_; + wire _0826_; + wire _0827_; + wire _0828_; + wire _0829_; + wire _0830_; + wire _0831_; + wire _0832_; + wire _0833_; + wire _0834_; + wire _0835_; + wire _0836_; + wire _0837_; + wire _0838_; + wire _0839_; + wire _0840_; + wire _0841_; + wire _0842_; + wire _0843_; + wire _0844_; + wire _0845_; + wire _0846_; + wire _0847_; + wire _0848_; + wire _0849_; + wire _0850_; + wire _0851_; + wire _0852_; + wire _0853_; + wire _0854_; + wire _0855_; + wire _0856_; + wire _0857_; + wire _0858_; + wire _0859_; + wire _0860_; + wire _0861_; + wire _0862_; + wire _0863_; + wire _0864_; + wire _0865_; + wire _0866_; + wire _0867_; + wire _0868_; + wire _0869_; + wire _0870_; + wire _0871_; + wire _0872_; + wire _0873_; + wire _0874_; + wire _0875_; + wire _0876_; + wire _0877_; + wire _0878_; + wire _0879_; + wire _0880_; + wire _0881_; + wire _0882_; + wire _0883_; + wire _0884_; + wire _0885_; + wire _0886_; + wire _0887_; + wire _0888_; + wire _0889_; + wire _0890_; + wire _0891_; + wire _0892_; + wire _0893_; + wire _0894_; + wire _0895_; + wire _0896_; + wire _0897_; + wire _0898_; + wire _0899_; + wire _0900_; + wire _0901_; + wire _0902_; + wire _0903_; + wire _0904_; + wire _0905_; + wire _0906_; + wire _0907_; + wire _0908_; + wire _0909_; + wire _0910_; + wire _0911_; + wire _0912_; + wire _0913_; + wire _0914_; + wire _0915_; + wire _0916_; + wire _0917_; + wire _0918_; + wire _0919_; + wire _0920_; + wire _0921_; + wire _0922_; + wire _0923_; + wire _0924_; + wire _0925_; + wire _0926_; + wire _0927_; + wire _0928_; + wire _0929_; + wire _0930_; + wire _0931_; + wire _0932_; + wire _0933_; + wire _0934_; + wire _0935_; + wire _0936_; + wire _0937_; + wire _0938_; + wire _0939_; + wire _0940_; + wire _0941_; + wire _0942_; + wire _0943_; + wire _0944_; + wire _0945_; + wire _0946_; + wire _0947_; + wire _0948_; + wire _0949_; + wire _0950_; + wire _0951_; + wire _0952_; + wire _0953_; + wire _0954_; + wire _0955_; + wire _0956_; + wire _0957_; + wire _0958_; + wire _0959_; + wire _0960_; + wire _0961_; + wire _0962_; + wire _0963_; + wire _0964_; + wire _0965_; + wire _0966_; + wire _0967_; + wire _0968_; + wire _0969_; + wire _0970_; + wire _0971_; + wire _0972_; + wire _0973_; + wire _0974_; + wire _0975_; + wire _0976_; + wire _0977_; + wire _0978_; + wire _0979_; + wire _0980_; + wire _0981_; + wire _0982_; + wire _0983_; + wire _0984_; + wire _0985_; + wire _0986_; + wire _0987_; + wire _0988_; + wire _0989_; + wire _0990_; + wire _0991_; + wire _0992_; + wire _0993_; + wire _0994_; + wire _0995_; + wire _0996_; + wire _0997_; + wire _0998_; + wire _0999_; + wire _1000_; + wire _1001_; + wire _1002_; + wire _1003_; + wire _1004_; + wire _1005_; + wire _1006_; + wire _1007_; + wire _1008_; + wire _1009_; + wire _1010_; + wire _1011_; + wire _1012_; + wire _1013_; + wire _1014_; + wire _1015_; + wire _1016_; + wire _1017_; + wire _1018_; + wire _1019_; + wire _1020_; + wire _1021_; + wire _1022_; + wire _1023_; + wire _1024_; + wire _1025_; + wire _1026_; + wire _1027_; + wire _1028_; + wire _1029_; + wire _1030_; + wire _1031_; + wire _1032_; + wire _1033_; + wire _1034_; + wire _1035_; + wire _1036_; + wire _1037_; + wire _1038_; + wire _1039_; + wire _1040_; + wire _1041_; + wire _1042_; + wire _1043_; + wire _1044_; + wire _1045_; + wire _1046_; + wire _1047_; + wire _1048_; + wire _1049_; + wire _1050_; + wire _1051_; + wire _1052_; + wire _1053_; + wire _1054_; + wire _1055_; + wire _1056_; + wire _1057_; + wire _1058_; + wire _1059_; + wire _1060_; + wire _1061_; + wire _1062_; + wire _1063_; + wire _1064_; + wire _1065_; + wire _1066_; + wire _1067_; + wire _1068_; + wire _1069_; + wire _1070_; + wire _1071_; + wire _1072_; + wire _1073_; + wire _1074_; + wire _1075_; + wire _1076_; + wire _1077_; + wire _1078_; + wire _1079_; + wire _1080_; + wire _1081_; + wire _1082_; + wire _1083_; + wire _1084_; + wire _1085_; + wire _1086_; + wire _1087_; + wire _1088_; + wire _1089_; + wire _1090_; + wire _1091_; + wire _1092_; + wire _1093_; + wire _1094_; + wire _1095_; + wire _1096_; + wire _1097_; + wire _1098_; + wire _1099_; + wire _1100_; + wire _1101_; + wire _1102_; + wire _1103_; + wire _1104_; + wire _1105_; + wire _1106_; + wire _1107_; + wire _1108_; + wire _1109_; + wire _1110_; + wire _1111_; + wire _1112_; + wire _1113_; + wire _1114_; + wire _1115_; + wire _1116_; + wire _1117_; + wire _1118_; + wire _1119_; + wire _1120_; + wire _1121_; + wire _1122_; + wire _1123_; + wire _1124_; + wire _1125_; + wire _1126_; + wire _1127_; + wire _1128_; + wire _1129_; + wire _1130_; + wire _1131_; + wire _1132_; + wire _1133_; + wire _1134_; + wire _1135_; + wire _1136_; + wire _1137_; + wire _1138_; + wire _1139_; + wire _1140_; + wire _1141_; + wire _1142_; + wire _1143_; + wire _1144_; + wire _1145_; + wire _1146_; + wire _1147_; + wire _1148_; + wire _1149_; + wire _1150_; + wire _1151_; + wire _1152_; + wire _1153_; + wire _1154_; + wire _1155_; + wire _1156_; + wire _1157_; + wire _1158_; + wire _1159_; + wire _1160_; + wire _1161_; + wire _1162_; + wire _1163_; + wire _1164_; + wire _1165_; + wire _1166_; + wire _1167_; + wire _1168_; + wire _1169_; + wire _1170_; + wire _1171_; + wire _1172_; + wire _1173_; + wire _1174_; + wire _1175_; + wire _1176_; + wire _1177_; + wire _1178_; + wire _1179_; + wire _1180_; + wire _1181_; + wire _1182_; + wire _1183_; + wire _1184_; + wire _1185_; + wire _1186_; + wire _1187_; + wire _1188_; + wire _1189_; + wire _1190_; + wire _1191_; + wire _1192_; + wire _1193_; + wire _1194_; + wire _1195_; + wire _1196_; + wire _1197_; + wire _1198_; + wire _1199_; + wire _1200_; + wire _1201_; + wire _1202_; + wire _1203_; + wire _1204_; + wire _1205_; + wire _1206_; + wire _1207_; + wire _1208_; + wire _1209_; + wire _1210_; + wire _1211_; + wire _1212_; + wire _1213_; + wire _1214_; + wire _1215_; + wire _1216_; + wire _1217_; + wire _1218_; + wire _1219_; + wire _1220_; + wire _1221_; + wire _1222_; + wire _1223_; + wire _1224_; + wire _1225_; + wire _1226_; + wire _1227_; + wire _1228_; + wire _1229_; + wire _1230_; + wire _1231_; + wire _1232_; + wire _1233_; + wire _1234_; + wire _1235_; + wire _1236_; + wire _1237_; + wire _1238_; + wire _1239_; + wire _1240_; + wire _1241_; + wire _1242_; + wire _1243_; + wire _1244_; + wire _1245_; + wire _1246_; + wire _1247_; + wire _1248_; + wire _1249_; + wire _1250_; + wire _1251_; + wire _1252_; + wire _1253_; + wire _1254_; + wire _1255_; + wire _1256_; + wire _1257_; + wire _1258_; + wire _1259_; + wire _1260_; + wire _1261_; + wire _1262_; + wire _1263_; + wire _1264_; + wire _1265_; + wire _1266_; + wire _1267_; + wire _1268_; + wire _1269_; + wire _1270_; + wire _1271_; + wire _1272_; + wire _1273_; + wire _1274_; + wire _1275_; + wire _1276_; + wire _1277_; + wire _1278_; + wire _1279_; + wire _1280_; + wire _1281_; + wire _1282_; + wire _1283_; + wire _1284_; + wire _1285_; + wire _1286_; + wire _1287_; + wire _1288_; + wire _1289_; + wire _1290_; + wire _1291_; + wire _1292_; + wire _1293_; + wire _1294_; + wire _1295_; + wire _1296_; + wire _1297_; + wire _1298_; + wire _1299_; + wire _1300_; + wire _1301_; + wire _1302_; + wire _1303_; + wire _1304_; + wire _1305_; + wire _1306_; + wire _1307_; + wire _1308_; + wire _1309_; + wire _1310_; + wire _1311_; + wire _1312_; + wire _1313_; + wire _1314_; + wire _1315_; + wire _1316_; + wire _1317_; + wire _1318_; + wire _1319_; + wire _1320_; + wire _1321_; + wire _1322_; + wire _1323_; + wire _1324_; + wire _1325_; + wire _1326_; + wire _1327_; + wire _1328_; + wire _1329_; + wire _1330_; + wire _1331_; + wire _1332_; + wire _1333_; + wire [63:0] _1334_; + wire [3:0] _1335_; + wire [106:0] _1336_; + wire [65:0] _1337_; + wire _1338_; + wire _1339_; + wire [7:0] _1340_; + wire _1341_; + wire [3:0] _1342_; + wire [15:0] _1343_; + wire [2:0] _1344_; + wire [7:0] _1345_; + wire _1346_; + wire [3:0] _1347_; + wire _1348_; + wire [2:0] _1349_; + wire _1350_; + wire _1351_; + wire _1352_; + wire _1353_; + wire _1354_; + wire _1355_; + wire _1356_; + wire _1357_; + wire _1358_; + wire [31:0] _1359_; + wire [63:0] _1360_; + wire [7:0] _1361_; + wire _1362_; + wire _1363_; + wire _1364_; + wire _1365_; + wire _1366_; + wire [3:0] _1367_; + wire [2:0] _1368_; + wire _1369_; + wire _1370_; + wire _1371_; + wire [2:0] _1372_; + wire _1373_; + wire _1374_; + wire _1375_; + wire [15:0] _1376_; + wire [7:0] _1377_; + wire [7:0] _1378_; + wire [7:0] _1379_; + wire [7:0] _1380_; + wire _1381_; + wire [7:0] _1382_; + wire [7:0] _1383_; + wire [7:0] _1384_; + wire _1385_; + wire _1386_; + wire _1387_; + wire _1388_; + wire _1389_; + wire _1390_; + wire _1391_; + wire _1392_; + wire _1393_; + wire _1394_; + wire _1395_; + wire _1396_; + wire _1397_; + wire _1398_; + wire _1399_; + wire _1400_; + wire _1401_; + wire _1402_; + wire [15:0] _1403_; + wire _1404_; + wire _1405_; + wire _1406_; + wire _1407_; + wire [15:0] _1408_; + wire [15:0] _1409_; + wire _1410_; + wire _1411_; + wire _1412_; + wire _1413_; + wire _1414_; + wire _1415_; + wire _1416_; + wire _1417_; + wire _1418_; + wire [2:0] _1419_; + wire [2:0] _1420_; + wire [2:0] _1421_; + wire _1422_; + wire [2:0] _1423_; + wire [2:0] _1424_; + wire [2:0] _1425_; + wire _1426_; + wire _1427_; + wire _1428_; + wire _1429_; + wire _1430_; + wire [2:0] _1431_; + wire _1432_; + wire _1433_; + wire _1434_; + wire _1435_; + wire _1436_; + wire _1437_; + wire _1438_; + wire _1439_; + wire _1440_; + wire _1441_; + wire _1442_; + wire _1443_; + wire _1444_; + wire [7:0] _1445_; + wire [7:0] _1446_; + wire [7:0] _1447_; + wire _1448_; + wire [7:0] _1449_; + wire [7:0] _1450_; + wire [7:0] _1451_; + wire [7:0] _1452_; + wire _1453_; + wire [7:0] _1454_; + wire [7:0] _1455_; + wire _1456_; + wire _1457_; + wire _1458_; + wire _1459_; + wire _1460_; + wire _1461_; + wire _1462_; + wire _1463_; + wire _1464_; + wire _1465_; + wire _1466_; + wire _1467_; + wire _1468_; + wire _1469_; + wire [7:0] _1470_; + wire _1471_; + wire _1472_; + wire _1473_; + wire _1474_; + wire _1475_; + wire _1476_; + wire _1477_; + wire _1478_; + wire _1479_; + wire _1480_; + wire _1481_; + wire _1482_; + wire _1483_; + wire _1484_; + wire _1485_; + wire _1486_; + wire _1487_; + wire _1488_; + wire _1489_; + wire _1490_; + wire _1491_; + wire _1492_; + wire _1493_; + wire _1494_; + wire [2:0] _1495_; + wire [2:0] _1496_; + wire _1497_; + wire _1498_; + wire _1499_; + wire _1500_; + wire [2:0] _1501_; + wire _1502_; + wire _1503_; + wire _1504_; + wire _1505_; + wire _1506_; + wire _1507_; + wire _1508_; + wire _1509_; + wire _1510_; + wire [63:0] _1511_; + wire _1512_; + wire [63:0] _1513_; + wire [63:0] _1514_; + wire _1515_; + wire _1516_; + wire _1517_; + wire _1518_; + wire [63:0] _1519_; + wire [63:0] _1520_; + wire _1521_; + wire _1522_; + wire _1523_; + wire _1524_; + wire _1525_; + wire _1526_; + wire _1527_; + wire _1528_; + wire _1529_; + wire _1530_; + wire _1531_; + wire _1532_; + wire _1533_; + wire _1534_; + wire _1535_; + wire _1536_; + wire _1537_; + wire _1538_; + wire _1539_; + wire _1540_; + wire _1541_; + wire _1542_; + wire _1543_; + wire _1544_; + wire _1545_; + wire _1546_; + wire _1547_; + wire _1548_; + wire _1549_; + wire _1550_; + wire _1551_; + wire _1552_; + wire _1553_; + wire _1554_; + wire _1555_; + wire _1556_; + wire _1557_; + wire _1558_; + wire _1559_; + wire _1560_; + wire _1561_; + wire _1562_; + wire _1563_; + wire _1564_; + wire _1565_; + wire _1566_; + wire _1567_; + wire _1568_; + wire _1569_; + wire _1570_; + wire _1571_; + wire _1572_; + wire _1573_; + wire _1574_; + wire _1575_; + wire _1576_; + wire _1577_; + wire _1578_; + wire _1579_; + wire _1580_; + wire _1581_; + wire _1582_; + wire _1583_; + wire _1584_; + wire _1585_; + wire _1586_; + wire _1587_; + wire _1588_; + wire _1589_; + wire _1590_; + wire _1591_; + wire _1592_; + wire _1593_; + wire _1594_; + wire _1595_; + wire _1596_; + wire _1597_; + wire _1598_; + wire _1599_; + wire _1600_; + wire _1601_; + wire _1602_; + wire _1603_; + wire _1604_; + wire _1605_; + wire _1606_; + wire _1607_; + wire _1608_; + wire _1609_; + wire _1610_; + wire _1611_; + wire _1612_; + wire _1613_; + wire _1614_; + wire _1615_; + wire _1616_; + wire _1617_; + wire _1618_; + wire _1619_; + wire _1620_; + wire _1621_; + wire _1622_; + wire _1623_; + wire _1624_; + wire _1625_; + wire _1626_; + wire _1627_; + wire _1628_; + wire _1629_; + wire _1630_; + wire _1631_; + wire _1632_; + wire _1633_; + wire _1634_; + wire _1635_; + wire _1636_; + wire _1637_; + wire _1638_; + wire _1639_; + wire _1640_; + wire _1641_; + wire _1642_; + wire _1643_; + wire _1644_; + wire _1645_; + wire _1646_; + wire _1647_; + wire _1648_; + wire _1649_; + wire _1650_; + wire _1651_; + wire _1652_; + wire _1653_; + wire _1654_; + wire _1655_; + wire _1656_; + wire _1657_; + wire _1658_; + wire _1659_; + wire _1660_; + wire _1661_; + wire _1662_; + wire _1663_; + wire _1664_; + wire _1665_; + wire _1666_; + wire _1667_; + wire _1668_; + wire _1669_; + wire _1670_; + wire _1671_; + wire _1672_; + wire _1673_; + wire _1674_; + wire _1675_; + wire _1676_; + wire _1677_; + wire _1678_; + wire _1679_; + wire _1680_; + wire _1681_; + wire _1682_; + wire _1683_; + wire _1684_; + wire _1685_; + wire _1686_; + wire _1687_; + wire _1688_; + wire _1689_; + wire _1690_; + wire _1691_; + wire _1692_; + wire _1693_; + wire _1694_; + wire _1695_; + wire _1696_; + wire _1697_; + wire _1698_; + wire _1699_; + wire _1700_; + wire _1701_; + wire _1702_; + wire _1703_; + wire _1704_; + wire _1705_; + wire _1706_; + wire _1707_; + wire _1708_; + wire _1709_; + wire _1710_; + wire _1711_; + wire _1712_; + wire _1713_; + wire _1714_; + wire _1715_; + wire _1716_; + wire _1717_; + wire _1718_; + wire _1719_; + wire _1720_; + wire _1721_; + wire _1722_; + wire _1723_; + wire _1724_; + wire _1725_; + wire _1726_; + wire _1727_; + wire _1728_; + wire _1729_; + wire _1730_; + wire _1731_; + wire _1732_; + wire _1733_; + wire _1734_; + wire _1735_; + wire _1736_; + wire _1737_; + wire _1738_; + wire _1739_; + wire _1740_; + wire _1741_; + wire _1742_; + wire _1743_; + wire _1744_; + wire _1745_; + wire _1746_; + wire _1747_; + wire _1748_; + wire _1749_; + wire _1750_; + wire _1751_; + wire _1752_; + wire _1753_; + wire _1754_; + wire _1755_; + wire _1756_; + wire _1757_; + wire _1758_; + wire _1759_; + wire _1760_; + wire _1761_; + wire _1762_; + wire _1763_; + wire _1764_; + wire _1765_; + wire _1766_; + wire _1767_; + wire _1768_; + wire _1769_; + wire _1770_; + wire _1771_; + wire _1772_; + wire _1773_; + wire _1774_; + wire _1775_; + wire _1776_; + wire _1777_; + wire _1778_; + wire _1779_; + wire _1780_; + wire _1781_; + wire _1782_; + wire _1783_; + wire _1784_; + wire _1785_; + wire _1786_; + wire _1787_; + wire _1788_; + wire _1789_; + wire _1790_; + wire _1791_; + wire _1792_; + wire _1793_; + wire _1794_; + wire _1795_; + wire _1796_; + wire _1797_; + wire _1798_; + wire _1799_; + wire _1800_; + wire _1801_; + wire _1802_; + wire _1803_; + wire _1804_; + wire _1805_; + wire _1806_; + wire _1807_; + wire _1808_; + wire _1809_; + wire _1810_; + wire _1811_; + wire _1812_; + wire _1813_; + wire _1814_; + wire _1815_; + wire _1816_; + wire _1817_; + wire _1818_; + wire _1819_; + wire _1820_; + wire _1821_; + wire _1822_; + wire _1823_; + wire _1824_; + wire _1825_; + wire _1826_; + wire _1827_; + wire _1828_; + wire _1829_; + wire _1830_; + wire _1831_; + wire _1832_; + wire _1833_; + wire _1834_; + wire _1835_; + wire _1836_; + wire _1837_; + wire _1838_; + wire _1839_; + wire _1840_; + wire _1841_; + wire _1842_; + wire _1843_; + wire _1844_; + wire _1845_; + wire _1846_; + wire _1847_; + wire _1848_; + wire _1849_; + wire _1850_; + wire _1851_; + wire _1852_; + wire _1853_; + wire _1854_; + wire _1855_; + wire _1856_; + wire _1857_; + wire _1858_; + wire _1859_; + wire _1860_; + wire _1861_; + wire _1862_; + wire _1863_; + wire _1864_; + wire _1865_; + wire _1866_; + wire _1867_; + wire _1868_; + wire _1869_; + wire _1870_; + wire _1871_; + wire _1872_; + wire _1873_; + wire _1874_; + wire _1875_; + wire _1876_; + wire _1877_; + wire _1878_; + wire _1879_; + wire _1880_; + wire _1881_; + wire _1882_; + wire _1883_; + wire _1884_; + wire _1885_; + wire _1886_; + wire _1887_; + wire _1888_; + wire _1889_; + wire _1890_; + wire _1891_; + wire _1892_; + wire _1893_; + wire _1894_; + wire _1895_; + wire _1896_; + wire _1897_; + wire _1898_; + wire _1899_; + wire _1900_; + wire _1901_; + wire _1902_; + wire _1903_; + wire _1904_; + wire _1905_; + wire _1906_; + wire _1907_; + wire _1908_; + wire _1909_; + wire _1910_; + wire _1911_; + wire _1912_; + wire _1913_; + wire _1914_; + wire _1915_; + wire _1916_; + wire _1917_; + wire _1918_; + wire _1919_; + wire _1920_; + wire _1921_; + wire _1922_; + wire _1923_; + wire _1924_; + wire _1925_; + wire _1926_; + wire _1927_; + wire _1928_; + wire _1929_; + wire _1930_; + wire _1931_; + wire _1932_; + wire _1933_; + wire _1934_; + wire _1935_; + wire _1936_; + wire _1937_; + wire _1938_; + wire _1939_; + wire _1940_; + wire _1941_; + wire _1942_; + wire _1943_; + wire _1944_; + wire _1945_; + wire _1946_; + wire _1947_; + wire _1948_; + wire _1949_; + wire _1950_; + wire _1951_; + wire _1952_; + wire _1953_; + wire _1954_; + wire _1955_; + wire _1956_; + wire _1957_; + wire _1958_; + wire _1959_; + wire _1960_; + wire _1961_; + wire _1962_; + wire _1963_; + wire _1964_; + wire _1965_; + wire _1966_; + wire _1967_; + wire _1968_; + wire _1969_; + wire _1970_; + wire _1971_; + wire _1972_; + wire _1973_; + wire _1974_; + wire _1975_; + wire _1976_; + wire _1977_; + wire _1978_; + wire _1979_; + wire _1980_; + wire _1981_; + wire _1982_; + wire _1983_; + wire _1984_; + wire _1985_; + wire _1986_; + wire _1987_; + wire _1988_; + wire _1989_; + wire _1990_; + wire _1991_; + wire _1992_; + wire _1993_; + wire _1994_; + wire _1995_; + wire _1996_; + wire _1997_; + wire _1998_; + wire _1999_; + wire _2000_; + wire _2001_; + wire _2002_; + wire _2003_; + wire _2004_; + wire _2005_; + wire _2006_; + wire _2007_; + wire _2008_; + wire _2009_; + wire _2010_; + wire _2011_; + wire _2012_; + wire _2013_; + wire _2014_; + wire _2015_; + wire _2016_; + wire _2017_; + wire _2018_; + wire _2019_; + wire _2020_; + wire _2021_; + wire _2022_; + wire _2023_; + wire _2024_; + wire _2025_; + wire _2026_; + wire bad_header; + wire cache_inv; + input clk; + reg [63:0] config_q; + wire config_write; + output err; + wire good_header; + input [7:0] ib_data; + input ib_pty; + wire icapture_d; + reg icapture_q; + wire idata_clear; + wire [2:0] idata_cnt_d; + reg [2:0] idata_cnt_q; + wire [7:0] idata_d; + reg [7:0] idata_q; + wire idle_header; + output \int ; + wire int_req; + wire int_req_complete; + wire ipty_d; + reg ipty_q; + wire iseq_err; + wire iseq_idle; + reg [3:0] iseq_q; + wire ld_rd_data; + wire link_req_i; + wire link_rsp_i; + wire [63:0] local_rd_data; + wire oaddr_last; + wire [7:0] oaddr_mux; + wire ob_complete; + output [7:0] ob_data; + output ob_pty; + wire oclk_advance; + wire [15:0] oclk_cnt_d; + reg [15:0] oclk_cnt_q; + wire oclk_d; + wire oclk_last_d; + reg oclk_last_q; + wire oclk_match; + reg oclk_q; + wire [15:0] oclk_toggle; + wire odata_clear; + wire [2:0] odata_cnt_d; + reg [2:0] odata_cnt_q; + wire [7:0] odata_d; + wire odata_last; + wire odata_ld_addr; + wire odata_ld_data; + wire odata_ld_header; + wire odata_ld_sel; + wire [7:0] odata_mux; + reg [7:0] odata_q; + output oib_clk; + wire opty_d; + reg opty_q; + wire oseq_err; + wire oseq_hold; + reg [3:0] oseq_q; + wire pty_err; + wire rd8_rsp; + wire [63:0] rd_data_load; + wire rd_err; + wire rd_rsp_complete; + wire rd_rsp_data_done; + input rst; + wire save_header; + wire sync_ack; + output wb_ack; + input [31:0] wb_addr; + input wb_cyc; + output wb_err; + reg [106:0] wb_in_q; + wire wb_local; + wire wb_local_rd; + wire wb_local_wr; + reg [65:0] wb_out_q; + output [63:0] wb_rd_data; + wire wb_remote_rd; + wire wb_remote_wr; + wire wb_req; + input [7:0] wb_sel; + output wb_stall; + input wb_stb; + input wb_we; + input [63:0] wb_wr_data; + wire wbseq_err; + reg [3:0] wbseq_q; + wire wr8_rsp; + wire wr_err; + wire wr_rsp_complete; + assign _1402_ = oclk_cnt_q == oclk_toggle; + assign oclk_match = _1402_ ? 1'h1 : 1'h0; + assign _1403_ = 16'h0000 | 16'h0000; + assign _1404_ = ~ config_write; + assign _1405_ = config_q[0] & _1404_; + assign _1406_ = ~ oclk_match; + assign _1407_ = _1405_ & _1406_; + assign _1408_ = oclk_cnt_q + 16'h0001; + assign _1409_ = _1407_ ? _1408_ : 16'h0000; + assign oclk_cnt_d = _1403_ | _1409_; + assign oclk_advance = oclk_match & oclk_q; + assign _1410_ = oclk_q ^ oclk_match; + assign oclk_d = _1410_ & config_q[0]; + assign oclk_last_d = oclk_q & config_q[0]; + assign _1411_ = ~ oclk_last_q; + assign _1412_ = _1411_ & oclk_q; + assign _1413_ = _1412_ & config_q[0]; + assign _1414_ = config_q[9] == 1'h0; + assign _1415_ = ~ oclk_q; + assign _1416_ = oclk_last_q & _1415_; + assign _1417_ = _1416_ & config_q[0]; + function [0:0] \1068 ; + input [0:0] a; + input [0:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \1068 = b[0:0]; + default: + \1068 = a; + endcase + endfunction + assign icapture_d = \1068 (_1417_, _1413_, _1414_); + assign _1418_ = oclk_advance & odata_ld_addr; + assign _1419_ = odata_cnt_q + 3'h1; + assign _1420_ = _1418_ ? _1419_ : 3'h0; + assign _1421_ = 3'h0 | _1420_; + assign _1422_ = oclk_advance & odata_ld_data; + assign _1423_ = odata_cnt_q + 3'h1; + assign _1424_ = _1422_ ? _1423_ : 3'h0; + assign _1425_ = _1421_ | _1424_; + assign _1426_ = ~ odata_clear; + assign _1427_ = odata_ld_addr | odata_ld_data; + assign _1428_ = oclk_advance & _1427_; + assign _1429_ = ~ _1428_; + assign _1430_ = _1426_ & _1429_; + assign _1431_ = _1430_ ? odata_cnt_q : 3'h0; + assign odata_cnt_d = _1425_ | _1431_; + assign _1432_ = odata_cnt_q == 3'h4; + assign oaddr_last = _1432_ ? 1'h1 : 1'h0; + assign _1433_ = odata_cnt_q == 3'h7; + assign odata_last = _1433_ ? 1'h1 : 1'h0; + assign _1434_ = odata_cnt_q == 3'h0; + assign _1435_ = odata_cnt_q == 3'h1; + assign _1436_ = odata_cnt_q == 3'h2; + function [7:0] \1166 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \1166 = b[7:0]; + 3'b?1?: + \1166 = b[15:8]; + 3'b1??: + \1166 = b[23:16]; + default: + \1166 = a; + endcase + endfunction + assign oaddr_mux = \1166 (wb_in_q[31:24], wb_in_q[23:0], { _1436_, _1435_, _1434_ }); + assign _1437_ = odata_cnt_q == 3'h0; + assign _1438_ = odata_cnt_q == 3'h1; + assign _1439_ = odata_cnt_q == 3'h2; + assign _1440_ = odata_cnt_q == 3'h3; + assign _1441_ = odata_cnt_q == 3'h4; + assign _1442_ = odata_cnt_q == 3'h5; + assign _1443_ = odata_cnt_q == 3'h6; + function [7:0] \1190 ; + input [7:0] a; + input [55:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \1190 = b[7:0]; + 7'b?????1?: + \1190 = b[15:8]; + 7'b????1??: + \1190 = b[23:16]; + 7'b???1???: + \1190 = b[31:24]; + 7'b??1????: + \1190 = b[39:32]; + 7'b?1?????: + \1190 = b[47:40]; + 7'b1??????: + \1190 = b[55:48]; + default: + \1190 = a; + endcase + endfunction + assign odata_mux = \1190 (wb_in_q[95:88], wb_in_q[87:32], { _1443_, _1442_, _1441_, _1440_, _1439_, _1438_, _1437_ }); + assign _1444_ = odata_clear & oclk_advance; + assign _1445_ = _1444_ ? config_q[47:40] : 8'h00; + assign _1446_ = odata_ld_header ? { _1531_, _1533_, _1534_, _1535_, 2'h0, _1536_, wb_remote_wr } : 8'h00; + assign _1447_ = _1445_ | _1446_; + assign _1448_ = odata_ld_addr & oclk_advance; + assign _1449_ = _1448_ ? oaddr_mux : 8'h00; + assign _1450_ = _1447_ | _1449_; + assign _1451_ = odata_ld_sel ? wb_in_q[103:96] : 8'h00; + assign _1452_ = _1450_ | _1451_; + assign _1453_ = odata_ld_data & oclk_advance; + assign _1454_ = _1453_ ? odata_mux : 8'h00; + assign _1455_ = _1452_ | _1454_; + assign _1456_ = ~ odata_ld_header; + assign _1457_ = ~ odata_ld_sel; + assign _1458_ = _1456_ & _1457_; + assign _1459_ = odata_ld_addr & oclk_advance; + assign _1460_ = ~ _1459_; + assign _1461_ = _1458_ & _1460_; + assign _1462_ = ~ odata_ld_sel; + assign _1463_ = _1461_ & _1462_; + assign _1464_ = odata_ld_data & oclk_advance; + assign _1465_ = ~ _1464_; + assign _1466_ = _1463_ & _1465_; + assign _1467_ = odata_clear & oclk_advance; + assign _1468_ = ~ _1467_; + assign _1469_ = _1466_ & _1468_; + assign _1470_ = _1469_ ? odata_q : 8'h00; + assign odata_d = _1455_ | _1470_; + assign _1471_ = odata_d[7] ^ odata_d[6]; + assign _1472_ = _1471_ ^ odata_d[5]; + assign _1473_ = _1472_ ^ odata_d[4]; + assign _1474_ = _1473_ ^ odata_d[3]; + assign _1475_ = _1474_ ^ odata_d[2]; + assign _1476_ = _1475_ ^ odata_d[1]; + assign _1477_ = _1476_ ^ odata_d[0]; + assign opty_d = ~ _1477_; + assign _1478_ = icapture_d == 1'h1; + function [7:0] \1287 ; + input [7:0] a; + input [7:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \1287 = b[7:0]; + default: + \1287 = a; + endcase + endfunction + assign idata_d = \1287 (idata_q, ib_data, _1478_); + assign _1479_ = icapture_d == 1'h1; + function [0:0] \1290 ; + input [0:0] a; + input [0:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \1290 = b[0:0]; + default: + \1290 = a; + endcase + endfunction + assign ipty_d = \1290 (ipty_q, ib_pty, _1479_); + assign _1480_ = idata_q == config_q[47:40]; + assign idle_header = _1480_ ? 1'h1 : 1'h0; + assign _1481_ = iseq_idle & icapture_q; + assign _1482_ = ~ idle_header; + assign _1483_ = _1481_ & _1482_; + assign _1484_ = ~ good_header; + assign bad_header = _1483_ & _1484_; + assign _1485_ = idata_q[0] ^ idata_q[1]; + assign _1486_ = _1485_ ^ idata_q[2]; + assign _1487_ = _1486_ ^ idata_q[3]; + assign _1488_ = _1487_ ^ idata_q[4]; + assign _1489_ = _1488_ ^ idata_q[5]; + assign _1490_ = _1489_ ^ idata_q[6]; + assign _1491_ = _1490_ ^ idata_q[7]; + assign _1492_ = _1491_ ^ ipty_q; + assign _1493_ = ~ _1492_; + assign pty_err = icapture_q & _1493_; + assign _1494_ = icapture_q & ld_rd_data; + assign _1495_ = idata_cnt_q + 3'h1; + assign _1496_ = _1494_ ? _1495_ : 3'h0; + assign _1497_ = ~ idata_clear; + assign _1498_ = icapture_q & ld_rd_data; + assign _1499_ = ~ _1498_; + assign _1500_ = _1497_ & _1499_; + assign _1501_ = _1500_ ? idata_cnt_q : 3'h0; + assign idata_cnt_d = _1496_ | _1501_; + assign _1502_ = idata_cnt_q == 3'h7; + assign rd_rsp_data_done = _1502_ ? 1'h1 : 1'h0; + assign _1503_ = wb_in_q[7:4] == 4'h0; + function [63:0] \1375 ; + input [63:0] a; + input [63:0] b; + input [0:0] s; + (* parallel_case *) + casez (s) + 1'b1: + \1375 = b[63:0]; + default: + \1375 = a; + endcase + endfunction + assign local_rd_data = \1375 (64'hffffffffffffffff, { config_q[0], config_q[7:1], config_q[8], config_q[9], config_q[10], config_q[13:11], config_q[15:14], config_q[31:16], config_q[39:32], config_q[47:40], config_q[55:48], config_q[63:56] }, _1503_); + assign _1504_ = idata_cnt_q == 3'h0; + assign _1505_ = idata_cnt_q == 3'h1; + assign _1506_ = idata_cnt_q == 3'h2; + assign _1507_ = idata_cnt_q == 3'h3; + assign _1508_ = idata_cnt_q == 3'h4; + assign _1509_ = idata_cnt_q == 3'h5; + assign _1510_ = idata_cnt_q == 3'h6; + function [63:0] \1419 ; + input [63:0] a; + input [447:0] b; + input [6:0] s; + (* parallel_case *) + casez (s) + 7'b??????1: + \1419 = b[63:0]; + 7'b?????1?: + \1419 = b[127:64]; + 7'b????1??: + \1419 = b[191:128]; + 7'b???1???: + \1419 = b[255:192]; + 7'b??1????: + \1419 = b[319:256]; + 7'b?1?????: + \1419 = b[383:320]; + 7'b1??????: + \1419 = b[447:384]; + default: + \1419 = a; + endcase + endfunction + assign rd_data_load = \1419 ({ idata_q, wb_out_q[55:0] }, { wb_out_q[63:56], idata_q, wb_out_q[47:0], wb_out_q[63:48], idata_q, wb_out_q[39:0], wb_out_q[63:40], idata_q, wb_out_q[31:0], wb_out_q[63:32], idata_q, wb_out_q[23:0], wb_out_q[63:24], idata_q, wb_out_q[15:0], wb_out_q[63:16], idata_q, wb_out_q[7:0], wb_out_q[63:8], idata_q }, { _1510_, _1509_, _1508_, _1507_, _1506_, _1505_, _1504_ }); + assign _1511_ = wb_local_rd ? local_rd_data : 64'h0000000000000000; + assign _1512_ = wb_remote_rd & ld_rd_data; + assign _1513_ = _1512_ ? rd_data_load : 64'h0000000000000000; + assign _1514_ = _1511_ | _1513_; + assign _1515_ = ~ wb_local_rd; + assign _1516_ = wb_remote_rd & ld_rd_data; + assign _1517_ = ~ _1516_; + assign _1518_ = _1515_ & _1517_; + assign _1519_ = _1518_ ? wb_out_q[63:0] : 64'h0000000000000000; + assign _1520_ = _1514_ | _1519_; + assign _1521_ = rd8_rsp & iseq_idle; + assign _1522_ = _1521_ & icapture_q; + assign _1523_ = idata_q[4:3] == 2'h0; + assign _1524_ = _1523_ ? 1'h1 : 1'h0; + assign _1525_ = ~ _1524_; + assign rd_err = _1522_ & _1525_; + assign _1526_ = wr8_rsp & iseq_idle; + assign _1527_ = _1526_ & icapture_q; + assign _1528_ = idata_q[4:3] == 2'h0; + assign _1529_ = _1528_ ? 1'h1 : 1'h0; + assign _1530_ = ~ _1529_; + assign wr_err = _1527_ & _1530_; + assign _1531_ = 1'h0 | 1'h0; + assign _1532_ = 1'h0 | 1'h0; + assign _1533_ = _1532_ | 1'h0; + assign _1534_ = 1'h0 | 1'h0; + assign _1535_ = 1'h0 | 1'h0; + assign _1536_ = wb_remote_rd | wb_remote_wr; + assign _1537_ = ~ idata_q[6]; + assign _1538_ = idata_q[7] & _1537_; + assign _1539_ = ~ idata_q[5]; + assign _1540_ = _1538_ & _1539_; + assign _1541_ = ~ idata_q[4]; + assign _1542_ = _1540_ & _1541_; + assign _1543_ = _1542_ & idata_q[1]; + assign _1544_ = ~ idata_q[0]; + assign _1545_ = _1543_ & _1544_; + assign rd8_rsp = _1545_ & wb_remote_rd; + assign _1546_ = ~ idata_q[6]; + assign _1547_ = idata_q[7] & _1546_; + assign _1548_ = ~ idata_q[5]; + assign _1549_ = _1547_ & _1548_; + assign _1550_ = ~ idata_q[4]; + assign _1551_ = _1549_ & _1550_; + assign _1552_ = _1551_ & idata_q[1]; + assign _1553_ = _1552_ & idata_q[0]; + assign wr8_rsp = _1553_ & wb_remote_wr; + assign _1554_ = idata_q[7] & idata_q[6]; + assign _1555_ = ~ idata_q[5]; + assign _1556_ = _1554_ & _1555_; + assign _1557_ = _1556_ & idata_q[4]; + assign _1558_ = ~ idata_q[3]; + assign int_req = _1557_ & _1558_; + assign _1559_ = idata_q[7] & idata_q[6]; + assign _1560_ = ~ idata_q[5]; + assign _1561_ = _1559_ & _1560_; + assign _1562_ = ~ idata_q[4]; + assign _1563_ = _1561_ & _1562_; + assign _1564_ = ~ idata_q[3]; + assign sync_ack = _1563_ & _1564_; + assign _1565_ = idata_q[7] & idata_q[6]; + assign _1566_ = ~ idata_q[5]; + assign _1567_ = _1565_ & _1566_; + assign _1568_ = ~ idata_q[4]; + assign _1569_ = _1567_ & _1568_; + assign cache_inv = _1569_ & idata_q[3]; + assign _1570_ = idata_q[7] & idata_q[6]; + assign _1571_ = _1570_ & idata_q[5]; + assign _1572_ = _1571_ & idata_q[4]; + assign _1573_ = ~ idata_q[3]; + assign link_req_i = _1572_ & _1573_; + assign _1574_ = idata_q[7] & idata_q[6]; + assign _1575_ = _1574_ & idata_q[5]; + assign _1576_ = _1575_ & idata_q[4]; + assign link_rsp_i = _1576_ & idata_q[3]; + assign _1577_ = ~ idata_q[6]; + assign _1578_ = idata_q[7] & _1577_; + assign _1579_ = ~ idata_q[5]; + assign _1580_ = _1578_ & _1579_; + assign _1581_ = ~ idata_q[4]; + assign _1582_ = _1580_ & _1581_; + assign _1583_ = _1582_ & idata_q[1]; + assign _1584_ = ~ idata_q[0]; + assign _1585_ = _1583_ & _1584_; + assign _1586_ = _1585_ & wb_remote_rd; + assign _1587_ = ~ idata_q[6]; + assign _1588_ = idata_q[7] & _1587_; + assign _1589_ = ~ idata_q[5]; + assign _1590_ = _1588_ & _1589_; + assign _1591_ = ~ idata_q[4]; + assign _1592_ = _1590_ & _1591_; + assign _1593_ = _1592_ & idata_q[1]; + assign _1594_ = _1593_ & idata_q[0]; + assign _1595_ = _1594_ & wb_remote_wr; + assign _1596_ = _1586_ | _1595_; + assign _1597_ = idata_q[7] & idata_q[6]; + assign _1598_ = ~ idata_q[5]; + assign _1599_ = _1597_ & _1598_; + assign _1600_ = ~ idata_q[4]; + assign _1601_ = _1599_ & _1600_; + assign _1602_ = ~ idata_q[3]; + assign _1603_ = _1601_ & _1602_; + assign _1604_ = _1596_ | _1603_; + assign _1605_ = idata_q[7] & idata_q[6]; + assign _1606_ = ~ idata_q[5]; + assign _1607_ = _1605_ & _1606_; + assign _1608_ = ~ idata_q[4]; + assign _1609_ = _1607_ & _1608_; + assign _1610_ = _1609_ & idata_q[3]; + assign _1611_ = _1604_ | _1610_; + assign _1612_ = idata_q[7] & idata_q[6]; + assign _1613_ = ~ idata_q[5]; + assign _1614_ = _1612_ & _1613_; + assign _1615_ = _1614_ & idata_q[4]; + assign _1616_ = ~ idata_q[3]; + assign _1617_ = _1615_ & _1616_; + assign _1618_ = _1611_ | _1617_; + assign _1619_ = idata_q[7] & idata_q[6]; + assign _1620_ = _1619_ & idata_q[5]; + assign _1621_ = _1620_ & idata_q[4]; + assign _1622_ = ~ idata_q[3]; + assign _1623_ = _1621_ & _1622_; + assign _1624_ = _1618_ | _1623_; + assign _1625_ = idata_q[7] & idata_q[6]; + assign _1626_ = _1625_ & idata_q[5]; + assign _1627_ = _1626_ & idata_q[4]; + assign _1628_ = _1627_ & idata_q[3]; + assign good_header = _1624_ | _1628_; + assign _1629_ = wbseq_q[3] & wbseq_q[2]; + assign _1630_ = _1629_ & wbseq_q[1]; + assign _1631_ = _1630_ & wbseq_q[0]; + assign _1632_ = ~ wb_req; + assign _1633_ = _1631_ & _1632_; + assign _1634_ = wbseq_q[3] & wbseq_q[2]; + assign _1635_ = _1634_ & wbseq_q[1]; + assign _1636_ = _1635_ & wbseq_q[0]; + assign _1637_ = _1636_ & wb_req; + assign _1638_ = _1637_ & wb_we; + assign _1639_ = ~ wb_local; + assign _1640_ = _1638_ & _1639_; + assign _1641_ = _1633_ | _1640_; + assign _1642_ = ~ wbseq_q[3]; + assign _1643_ = ~ wbseq_q[2]; + assign _1644_ = _1642_ & _1643_; + assign _1645_ = ~ wbseq_q[1]; + assign _1646_ = _1644_ & _1645_; + assign _1647_ = _1646_ & wbseq_q[0]; + assign _1648_ = _1641_ | _1647_; + assign _1649_ = ~ wbseq_q[3]; + assign _1650_ = ~ wbseq_q[2]; + assign _1651_ = _1649_ & _1650_; + assign _1652_ = _1651_ & wbseq_q[1]; + assign _1653_ = ~ wbseq_q[0]; + assign _1654_ = _1652_ & _1653_; + assign _1655_ = _1648_ | _1654_; + assign _1656_ = ~ wbseq_q[3]; + assign _1657_ = _1656_ & wbseq_q[2]; + assign _1658_ = _1657_ & wbseq_q[1]; + assign _1659_ = ~ wbseq_q[0]; + assign _1660_ = _1658_ & _1659_; + assign _1661_ = ~ rd_rsp_complete; + assign _1662_ = _1660_ & _1661_; + assign _1663_ = _1655_ | _1662_; + assign _1664_ = ~ wbseq_q[2]; + assign _1665_ = wbseq_q[3] & _1664_; + assign _1666_ = ~ wbseq_q[1]; + assign _1667_ = _1665_ & _1666_; + assign _1668_ = ~ wbseq_q[0]; + assign _1669_ = _1667_ & _1668_; + assign _1670_ = ~ ob_complete; + assign _1671_ = _1669_ & _1670_; + assign _1672_ = _1663_ | _1671_; + assign _1673_ = ~ wbseq_q[2]; + assign _1674_ = wbseq_q[3] & _1673_; + assign _1675_ = ~ wbseq_q[1]; + assign _1676_ = _1674_ & _1675_; + assign _1677_ = ~ wbseq_q[0]; + assign _1678_ = _1676_ & _1677_; + assign _1679_ = _1678_ & ob_complete; + assign _1680_ = _1672_ | _1679_; + assign _1681_ = ~ wbseq_q[2]; + assign _1682_ = wbseq_q[3] & _1681_; + assign _1683_ = ~ wbseq_q[1]; + assign _1684_ = _1682_ & _1683_; + assign _1685_ = _1684_ & wbseq_q[0]; + assign _1686_ = ~ wr_rsp_complete; + assign _1687_ = _1685_ & _1686_; + assign _1688_ = _1680_ | _1687_; + assign _1689_ = ~ wbseq_q[2]; + assign _1690_ = wbseq_q[3] & _1689_; + assign _1691_ = ~ wbseq_q[1]; + assign _1692_ = _1690_ & _1691_; + assign _1693_ = _1692_ & wbseq_q[0]; + assign _1694_ = _1693_ & wr_rsp_complete; + assign _1695_ = _1688_ | _1694_; + assign _1696_ = ~ wbseq_q[2]; + assign _1697_ = wbseq_q[3] & _1696_; + assign _1698_ = _1697_ & wbseq_q[1]; + assign _1699_ = ~ wbseq_q[0]; + assign _1700_ = _1698_ & _1699_; + assign _1701_ = _1695_ | _1700_; + assign _1702_ = wbseq_q[3] & wbseq_q[2]; + assign _1703_ = _1702_ & wbseq_q[1]; + assign _1704_ = ~ wbseq_q[0]; + assign _1705_ = _1703_ & _1704_; + assign _1706_ = _1701_ | _1705_; + assign _1707_ = ~ wbseq_q[2]; + assign _1708_ = wbseq_q[3] & _1707_; + assign _1709_ = _1708_ & wbseq_q[1]; + assign _1710_ = _1709_ & wbseq_q[0]; + assign _1711_ = _1706_ | _1710_; + assign _1712_ = wbseq_q[3] & wbseq_q[2]; + assign _1713_ = ~ wbseq_q[1]; + assign _1714_ = _1712_ & _1713_; + assign _1715_ = ~ wbseq_q[0]; + assign _1716_ = _1714_ & _1715_; + assign _1717_ = _1711_ | _1716_; + assign _1718_ = wbseq_q[3] & wbseq_q[2]; + assign _1719_ = ~ wbseq_q[1]; + assign _1720_ = _1718_ & _1719_; + assign _1721_ = _1720_ & wbseq_q[0]; + assign _1722_ = _1717_ | _1721_; + assign _1723_ = wbseq_q[3] & wbseq_q[2]; + assign _1724_ = _1723_ & wbseq_q[1]; + assign _1725_ = _1724_ & wbseq_q[0]; + assign _1726_ = ~ wb_req; + assign _1727_ = _1725_ & _1726_; + assign _1728_ = wbseq_q[3] & wbseq_q[2]; + assign _1729_ = _1728_ & wbseq_q[1]; + assign _1730_ = _1729_ & wbseq_q[0]; + assign _1731_ = _1730_ & wb_req; + assign _1732_ = ~ wb_we; + assign _1733_ = _1731_ & _1732_; + assign _1734_ = ~ wb_local; + assign _1735_ = _1733_ & _1734_; + assign _1736_ = _1727_ | _1735_; + assign _1737_ = ~ wbseq_q[3]; + assign _1738_ = ~ wbseq_q[2]; + assign _1739_ = _1737_ & _1738_; + assign _1740_ = ~ wbseq_q[1]; + assign _1741_ = _1739_ & _1740_; + assign _1742_ = _1741_ & wbseq_q[0]; + assign _1743_ = _1736_ | _1742_; + assign _1744_ = ~ wbseq_q[3]; + assign _1745_ = ~ wbseq_q[2]; + assign _1746_ = _1744_ & _1745_; + assign _1747_ = _1746_ & wbseq_q[1]; + assign _1748_ = ~ wbseq_q[0]; + assign _1749_ = _1747_ & _1748_; + assign _1750_ = _1743_ | _1749_; + assign _1751_ = ~ wbseq_q[3]; + assign _1752_ = _1751_ & wbseq_q[2]; + assign _1753_ = ~ wbseq_q[1]; + assign _1754_ = _1752_ & _1753_; + assign _1755_ = ~ wbseq_q[0]; + assign _1756_ = _1754_ & _1755_; + assign _1757_ = ~ ob_complete; + assign _1758_ = _1756_ & _1757_; + assign _1759_ = _1750_ | _1758_; + assign _1760_ = ~ wbseq_q[3]; + assign _1761_ = _1760_ & wbseq_q[2]; + assign _1762_ = ~ wbseq_q[1]; + assign _1763_ = _1761_ & _1762_; + assign _1764_ = ~ wbseq_q[0]; + assign _1765_ = _1763_ & _1764_; + assign _1766_ = _1765_ & ob_complete; + assign _1767_ = _1759_ | _1766_; + assign _1768_ = ~ wbseq_q[3]; + assign _1769_ = _1768_ & wbseq_q[2]; + assign _1770_ = ~ wbseq_q[1]; + assign _1771_ = _1769_ & _1770_; + assign _1772_ = _1771_ & wbseq_q[0]; + assign _1773_ = ~ rd_rsp_complete; + assign _1774_ = _1772_ & _1773_; + assign _1775_ = _1767_ | _1774_; + assign _1776_ = ~ wbseq_q[3]; + assign _1777_ = _1776_ & wbseq_q[2]; + assign _1778_ = ~ wbseq_q[1]; + assign _1779_ = _1777_ & _1778_; + assign _1780_ = _1779_ & wbseq_q[0]; + assign _1781_ = _1780_ & rd_rsp_complete; + assign _1782_ = _1775_ | _1781_; + assign _1783_ = ~ wbseq_q[3]; + assign _1784_ = _1783_ & wbseq_q[2]; + assign _1785_ = _1784_ & wbseq_q[1]; + assign _1786_ = ~ wbseq_q[0]; + assign _1787_ = _1785_ & _1786_; + assign _1788_ = ~ rd_rsp_complete; + assign _1789_ = _1787_ & _1788_; + assign _1790_ = _1782_ | _1789_; + assign _1791_ = ~ wbseq_q[2]; + assign _1792_ = wbseq_q[3] & _1791_; + assign _1793_ = _1792_ & wbseq_q[1]; + assign _1794_ = ~ wbseq_q[0]; + assign _1795_ = _1793_ & _1794_; + assign _1796_ = _1790_ | _1795_; + assign _1797_ = wbseq_q[3] & wbseq_q[2]; + assign _1798_ = _1797_ & wbseq_q[1]; + assign _1799_ = ~ wbseq_q[0]; + assign _1800_ = _1798_ & _1799_; + assign _1801_ = _1796_ | _1800_; + assign _1802_ = ~ wbseq_q[3]; + assign _1803_ = _1802_ & wbseq_q[2]; + assign _1804_ = _1803_ & wbseq_q[1]; + assign _1805_ = _1804_ & wbseq_q[0]; + assign _1806_ = _1801_ | _1805_; + assign _1807_ = wbseq_q[3] & wbseq_q[2]; + assign _1808_ = ~ wbseq_q[1]; + assign _1809_ = _1807_ & _1808_; + assign _1810_ = ~ wbseq_q[0]; + assign _1811_ = _1809_ & _1810_; + assign _1812_ = _1806_ | _1811_; + assign _1813_ = wbseq_q[3] & wbseq_q[2]; + assign _1814_ = ~ wbseq_q[1]; + assign _1815_ = _1813_ & _1814_; + assign _1816_ = _1815_ & wbseq_q[0]; + assign _1817_ = _1812_ | _1816_; + assign _1818_ = wbseq_q[3] & wbseq_q[2]; + assign _1819_ = _1818_ & wbseq_q[1]; + assign _1820_ = _1819_ & wbseq_q[0]; + assign _1821_ = ~ wb_req; + assign _1822_ = _1820_ & _1821_; + assign _1823_ = wbseq_q[3] & wbseq_q[2]; + assign _1824_ = _1823_ & wbseq_q[1]; + assign _1825_ = _1824_ & wbseq_q[0]; + assign _1826_ = _1825_ & wb_req; + assign _1827_ = _1826_ & wb_we; + assign _1828_ = _1827_ & wb_local; + assign _1829_ = _1822_ | _1828_; + assign _1830_ = ~ wbseq_q[3]; + assign _1831_ = ~ wbseq_q[2]; + assign _1832_ = _1830_ & _1831_; + assign _1833_ = ~ wbseq_q[1]; + assign _1834_ = _1832_ & _1833_; + assign _1835_ = _1834_ & wbseq_q[0]; + assign _1836_ = _1829_ | _1835_; + assign _1837_ = ~ wbseq_q[3]; + assign _1838_ = ~ wbseq_q[2]; + assign _1839_ = _1837_ & _1838_; + assign _1840_ = _1839_ & wbseq_q[1]; + assign _1841_ = ~ wbseq_q[0]; + assign _1842_ = _1840_ & _1841_; + assign _1843_ = _1836_ | _1842_; + assign _1844_ = ~ wbseq_q[3]; + assign _1845_ = _1844_ & wbseq_q[2]; + assign _1846_ = ~ wbseq_q[1]; + assign _1847_ = _1845_ & _1846_; + assign _1848_ = _1847_ & wbseq_q[0]; + assign _1849_ = _1848_ & rd_rsp_complete; + assign _1850_ = _1843_ | _1849_; + assign _1851_ = ~ wbseq_q[3]; + assign _1852_ = _1851_ & wbseq_q[2]; + assign _1853_ = _1852_ & wbseq_q[1]; + assign _1854_ = ~ wbseq_q[0]; + assign _1855_ = _1853_ & _1854_; + assign _1856_ = ~ rd_rsp_complete; + assign _1857_ = _1855_ & _1856_; + assign _1858_ = _1850_ | _1857_; + assign _1859_ = ~ wbseq_q[2]; + assign _1860_ = wbseq_q[3] & _1859_; + assign _1861_ = ~ wbseq_q[1]; + assign _1862_ = _1860_ & _1861_; + assign _1863_ = _1862_ & wbseq_q[0]; + assign _1864_ = _1863_ & wr_rsp_complete; + assign _1865_ = _1858_ | _1864_; + assign _1866_ = ~ wbseq_q[2]; + assign _1867_ = wbseq_q[3] & _1866_; + assign _1868_ = _1867_ & wbseq_q[1]; + assign _1869_ = ~ wbseq_q[0]; + assign _1870_ = _1868_ & _1869_; + assign _1871_ = _1865_ | _1870_; + assign _1872_ = wbseq_q[3] & wbseq_q[2]; + assign _1873_ = _1872_ & wbseq_q[1]; + assign _1874_ = ~ wbseq_q[0]; + assign _1875_ = _1873_ & _1874_; + assign _1876_ = _1871_ | _1875_; + assign _1877_ = ~ wbseq_q[3]; + assign _1878_ = ~ wbseq_q[2]; + assign _1879_ = _1877_ & _1878_; + assign _1880_ = _1879_ & wbseq_q[1]; + assign _1881_ = _1880_ & wbseq_q[0]; + assign _1882_ = _1876_ | _1881_; + assign _1883_ = ~ wbseq_q[3]; + assign _1884_ = _1883_ & wbseq_q[2]; + assign _1885_ = _1884_ & wbseq_q[1]; + assign _1886_ = _1885_ & wbseq_q[0]; + assign _1887_ = _1882_ | _1886_; + assign _1888_ = ~ wbseq_q[2]; + assign _1889_ = wbseq_q[3] & _1888_; + assign _1890_ = _1889_ & wbseq_q[1]; + assign _1891_ = _1890_ & wbseq_q[0]; + assign _1892_ = _1887_ | _1891_; + assign _1893_ = wbseq_q[3] & wbseq_q[2]; + assign _1894_ = _1893_ & wbseq_q[1]; + assign _1895_ = _1894_ & wbseq_q[0]; + assign _1896_ = ~ wb_req; + assign _1897_ = _1895_ & _1896_; + assign _1898_ = wbseq_q[3] & wbseq_q[2]; + assign _1899_ = _1898_ & wbseq_q[1]; + assign _1900_ = _1899_ & wbseq_q[0]; + assign _1901_ = _1900_ & wb_req; + assign _1902_ = ~ wb_we; + assign _1903_ = _1901_ & _1902_; + assign _1904_ = _1903_ & wb_local; + assign _1905_ = _1897_ | _1904_; + assign _1906_ = ~ wbseq_q[3]; + assign _1907_ = _1906_ & wbseq_q[2]; + assign _1908_ = ~ wbseq_q[1]; + assign _1909_ = _1907_ & _1908_; + assign _1910_ = ~ wbseq_q[0]; + assign _1911_ = _1909_ & _1910_; + assign _1912_ = _1911_ & ob_complete; + assign _1913_ = _1905_ | _1912_; + assign _1914_ = ~ wbseq_q[3]; + assign _1915_ = _1914_ & wbseq_q[2]; + assign _1916_ = ~ wbseq_q[1]; + assign _1917_ = _1915_ & _1916_; + assign _1918_ = _1917_ & wbseq_q[0]; + assign _1919_ = ~ rd_rsp_complete; + assign _1920_ = _1918_ & _1919_; + assign _1921_ = _1913_ | _1920_; + assign _1922_ = ~ wbseq_q[2]; + assign _1923_ = wbseq_q[3] & _1922_; + assign _1924_ = ~ wbseq_q[1]; + assign _1925_ = _1923_ & _1924_; + assign _1926_ = ~ wbseq_q[0]; + assign _1927_ = _1925_ & _1926_; + assign _1928_ = _1927_ & ob_complete; + assign _1929_ = _1921_ | _1928_; + assign _1930_ = ~ wbseq_q[2]; + assign _1931_ = wbseq_q[3] & _1930_; + assign _1932_ = ~ wbseq_q[1]; + assign _1933_ = _1931_ & _1932_; + assign _1934_ = _1933_ & wbseq_q[0]; + assign _1935_ = ~ wr_rsp_complete; + assign _1936_ = _1934_ & _1935_; + assign _1937_ = _1929_ | _1936_; + assign _1938_ = wbseq_q[3] & wbseq_q[2]; + assign _1939_ = _1938_ & wbseq_q[1]; + assign _1940_ = ~ wbseq_q[0]; + assign _1941_ = _1939_ & _1940_; + assign _1942_ = _1937_ | _1941_; + assign _1943_ = ~ wbseq_q[3]; + assign _1944_ = ~ wbseq_q[2]; + assign _1945_ = _1943_ & _1944_; + assign _1946_ = _1945_ & wbseq_q[1]; + assign _1947_ = _1946_ & wbseq_q[0]; + assign _1948_ = _1942_ | _1947_; + assign _1949_ = ~ wbseq_q[3]; + assign _1950_ = _1949_ & wbseq_q[2]; + assign _1951_ = _1950_ & wbseq_q[1]; + assign _1952_ = _1951_ & wbseq_q[0]; + assign _1953_ = _1948_ | _1952_; + assign _1954_ = ~ wbseq_q[2]; + assign _1955_ = wbseq_q[3] & _1954_; + assign _1956_ = _1955_ & wbseq_q[1]; + assign _1957_ = _1956_ & wbseq_q[0]; + assign _1958_ = _1953_ | _1957_; + assign _1959_ = wbseq_q[3] & wbseq_q[2]; + assign _1960_ = ~ wbseq_q[1]; + assign _1961_ = _1959_ & _1960_; + assign _1962_ = _1961_ & wbseq_q[0]; + assign _1963_ = _1958_ | _1962_; + assign _1964_ = wbseq_q[3] & wbseq_q[2]; + assign _1965_ = _1964_ & wbseq_q[1]; + assign _1966_ = _1965_ & wbseq_q[0]; + assign _1967_ = _1966_ & wb_req; + assign _1968_ = ~ wb_we; + assign _1969_ = _1967_ & _1968_; + assign wb_local_rd = _1969_ & wb_local; + assign _1970_ = wbseq_q[3] & wbseq_q[2]; + assign _1971_ = _1970_ & wbseq_q[1]; + assign _1972_ = _1971_ & wbseq_q[0]; + assign _1973_ = _1972_ & wb_req; + assign _1974_ = _1973_ & wb_we; + assign wb_local_wr = _1974_ & wb_local; + assign _1975_ = wbseq_q[3] & wbseq_q[2]; + assign _1976_ = _1975_ & wbseq_q[1]; + assign _1977_ = _1976_ & wbseq_q[0]; + assign _1978_ = _1977_ & wb_req; + assign _1979_ = ~ wb_we; + assign _1980_ = _1978_ & _1979_; + assign _1981_ = ~ wb_local; + assign _1982_ = _1980_ & _1981_; + assign _1983_ = ~ wbseq_q[3]; + assign _1984_ = _1983_ & wbseq_q[2]; + assign _1985_ = ~ wbseq_q[1]; + assign _1986_ = _1984_ & _1985_; + assign _1987_ = ~ wbseq_q[0]; + assign _1988_ = _1986_ & _1987_; + assign _1989_ = _1982_ | _1988_; + assign _1990_ = ~ wbseq_q[3]; + assign _1991_ = _1990_ & wbseq_q[2]; + assign _1992_ = ~ wbseq_q[1]; + assign _1993_ = _1991_ & _1992_; + assign _1994_ = _1993_ & wbseq_q[0]; + assign _1995_ = _1989_ | _1994_; + assign _1996_ = ~ wbseq_q[3]; + assign _1997_ = _1996_ & wbseq_q[2]; + assign _1998_ = _1997_ & wbseq_q[1]; + assign _1999_ = ~ wbseq_q[0]; + assign _2000_ = _1998_ & _1999_; + assign _2001_ = ~ rd_rsp_complete; + assign _2002_ = _2000_ & _2001_; + assign wb_remote_rd = _1995_ | _2002_; + assign _2003_ = wbseq_q[3] & wbseq_q[2]; + assign _2004_ = _2003_ & wbseq_q[1]; + assign _2005_ = _2004_ & wbseq_q[0]; + assign _2006_ = _2005_ & wb_req; + assign _2007_ = _2006_ & wb_we; + assign _2008_ = ~ wb_local; + assign _2009_ = _2007_ & _2008_; + assign _2010_ = ~ wbseq_q[2]; + assign _2011_ = wbseq_q[3] & _2010_; + assign _2012_ = ~ wbseq_q[1]; + assign _2013_ = _2011_ & _2012_; + assign _2014_ = ~ wbseq_q[0]; + assign _2015_ = _2013_ & _2014_; + assign _2016_ = _2009_ | _2015_; + assign _2017_ = ~ wbseq_q[2]; + assign _2018_ = wbseq_q[3] & _2017_; + assign _2019_ = ~ wbseq_q[1]; + assign _2020_ = _2018_ & _2019_; + assign _2021_ = _2020_ & wbseq_q[0]; + assign wb_remote_wr = _2016_ | _2021_; + assign _2022_ = wbseq_q[3] & wbseq_q[2]; + assign _2023_ = _2022_ & wbseq_q[1]; + assign _2024_ = _2023_ & wbseq_q[0]; + assign _2025_ = _2024_ & wb_req; + assign _2026_ = ~ wb_we; + assign _0000_ = _2025_ & _2026_; + assign _0001_ = _0000_ & wb_local; + assign _0002_ = wbseq_q[3] & wbseq_q[2]; + assign _0003_ = _0002_ & wbseq_q[1]; + assign _0004_ = _0003_ & wbseq_q[0]; + assign _0005_ = _0004_ & wb_req; + assign _0006_ = _0005_ & wb_we; + assign _0007_ = _0006_ & wb_local; + assign _0008_ = _0001_ | _0007_; + assign _0009_ = wbseq_q[3] & wbseq_q[2]; + assign _0010_ = _0009_ & wbseq_q[1]; + assign _0011_ = _0010_ & wbseq_q[0]; + assign _0012_ = _0011_ & wb_req; + assign _0013_ = ~ wb_we; + assign _0014_ = _0012_ & _0013_; + assign _0015_ = ~ wb_local; + assign _0016_ = _0014_ & _0015_; + assign _0017_ = _0008_ | _0016_; + assign _0018_ = wbseq_q[3] & wbseq_q[2]; + assign _0019_ = _0018_ & wbseq_q[1]; + assign _0020_ = _0019_ & wbseq_q[0]; + assign _0021_ = _0020_ & wb_req; + assign _0022_ = _0021_ & wb_we; + assign _0023_ = ~ wb_local; + assign _0024_ = _0022_ & _0023_; + assign _0025_ = _0017_ | _0024_; + assign _0026_ = ~ wbseq_q[3]; + assign _0027_ = ~ wbseq_q[2]; + assign _0028_ = _0026_ & _0027_; + assign _0029_ = ~ wbseq_q[1]; + assign _0030_ = _0028_ & _0029_; + assign _0031_ = _0030_ & wbseq_q[0]; + assign _0032_ = _0025_ | _0031_; + assign _0033_ = ~ wbseq_q[3]; + assign _0034_ = ~ wbseq_q[2]; + assign _0035_ = _0033_ & _0034_; + assign _0036_ = _0035_ & wbseq_q[1]; + assign _0037_ = ~ wbseq_q[0]; + assign _0038_ = _0036_ & _0037_; + assign _0039_ = _0032_ | _0038_; + assign _0040_ = ~ wbseq_q[3]; + assign _0041_ = _0040_ & wbseq_q[2]; + assign _0042_ = ~ wbseq_q[1]; + assign _0043_ = _0041_ & _0042_; + assign _0044_ = ~ wbseq_q[0]; + assign _0045_ = _0043_ & _0044_; + assign _0046_ = _0039_ | _0045_; + assign _0047_ = ~ wbseq_q[3]; + assign _0048_ = _0047_ & wbseq_q[2]; + assign _0049_ = ~ wbseq_q[1]; + assign _0050_ = _0048_ & _0049_; + assign _0051_ = _0050_ & wbseq_q[0]; + assign _0052_ = _0046_ | _0051_; + assign _0053_ = ~ wbseq_q[3]; + assign _0054_ = _0053_ & wbseq_q[2]; + assign _0055_ = _0054_ & wbseq_q[1]; + assign _0056_ = ~ wbseq_q[0]; + assign _0057_ = _0055_ & _0056_; + assign _0058_ = ~ rd_rsp_complete; + assign _0059_ = _0057_ & _0058_; + assign _0060_ = _0052_ | _0059_; + assign _0061_ = ~ wbseq_q[2]; + assign _0062_ = wbseq_q[3] & _0061_; + assign _0063_ = ~ wbseq_q[1]; + assign _0064_ = _0062_ & _0063_; + assign _0065_ = ~ wbseq_q[0]; + assign _0066_ = _0064_ & _0065_; + assign _0067_ = _0060_ | _0066_; + assign _0068_ = ~ wbseq_q[2]; + assign _0069_ = wbseq_q[3] & _0068_; + assign _0070_ = ~ wbseq_q[1]; + assign _0071_ = _0069_ & _0070_; + assign _0072_ = _0071_ & wbseq_q[0]; + assign _0073_ = _0067_ | _0072_; + assign _0074_ = ~ wbseq_q[2]; + assign _0075_ = wbseq_q[3] & _0074_; + assign _0076_ = _0075_ & wbseq_q[1]; + assign _0077_ = ~ wbseq_q[0]; + assign _0078_ = _0076_ & _0077_; + assign _0079_ = _0073_ | _0078_; + assign _0080_ = ~ wbseq_q[3]; + assign _0081_ = ~ wbseq_q[2]; + assign _0082_ = _0080_ & _0081_; + assign _0083_ = ~ wbseq_q[1]; + assign _0084_ = _0082_ & _0083_; + assign _0085_ = _0084_ & wbseq_q[0]; + assign _0086_ = ~ wbseq_q[3]; + assign _0087_ = ~ wbseq_q[2]; + assign _0088_ = _0086_ & _0087_; + assign _0089_ = _0088_ & wbseq_q[1]; + assign _0090_ = ~ wbseq_q[0]; + assign _0091_ = _0089_ & _0090_; + assign _0092_ = _0085_ | _0091_; + assign _0093_ = ~ wbseq_q[3]; + assign _0094_ = _0093_ & wbseq_q[2]; + assign _0095_ = _0094_ & wbseq_q[1]; + assign _0096_ = ~ wbseq_q[0]; + assign _0097_ = _0095_ & _0096_; + assign _0098_ = ~ rd_rsp_complete; + assign _0099_ = _0097_ & _0098_; + assign _0100_ = _0092_ | _0099_; + assign _0101_ = ~ wbseq_q[2]; + assign _0102_ = wbseq_q[3] & _0101_; + assign _0103_ = _0102_ & wbseq_q[1]; + assign _0104_ = ~ wbseq_q[0]; + assign _0105_ = _0103_ & _0104_; + assign _0106_ = _0100_ | _0105_; + assign _0107_ = ~ wbseq_q[3]; + assign _0108_ = _0107_ & wbseq_q[2]; + assign _0109_ = ~ wbseq_q[1]; + assign _0110_ = _0108_ & _0109_; + assign _0111_ = _0110_ & wbseq_q[0]; + assign _0112_ = ~ wbseq_q[3]; + assign _0113_ = _0112_ & wbseq_q[2]; + assign _0114_ = _0113_ & wbseq_q[1]; + assign _0115_ = ~ wbseq_q[0]; + assign _0116_ = _0114_ & _0115_; + assign _0117_ = ~ rd_rsp_complete; + assign _0118_ = _0116_ & _0117_; + assign _0119_ = _0111_ | _0118_; + assign _0120_ = ~ wbseq_q[2]; + assign _0121_ = wbseq_q[3] & _0120_; + assign _0122_ = ~ wbseq_q[1]; + assign _0123_ = _0121_ & _0122_; + assign _0124_ = _0123_ & wbseq_q[0]; + assign _0125_ = _0119_ | _0124_; + assign _0126_ = ~ wbseq_q[2]; + assign _0127_ = wbseq_q[3] & _0126_; + assign _0128_ = _0127_ & wbseq_q[1]; + assign _0129_ = ~ wbseq_q[0]; + assign _0130_ = _0128_ & _0129_; + assign _0131_ = _0125_ | _0130_; + assign _0132_ = wbseq_q[3] & wbseq_q[2]; + assign _0133_ = _0132_ & wbseq_q[1]; + assign _0134_ = ~ wbseq_q[0]; + assign _0135_ = _0133_ & _0134_; + assign oseq_hold = _0131_ | _0135_; + assign _0136_ = ~ wbseq_q[3]; + assign _0137_ = ~ wbseq_q[2]; + assign _0138_ = _0136_ & _0137_; + assign _0139_ = ~ wbseq_q[1]; + assign _0140_ = _0138_ & _0139_; + assign _0141_ = ~ wbseq_q[0]; + assign _0142_ = _0140_ & _0141_; + assign _0143_ = ~ wbseq_q[3]; + assign _0144_ = ~ wbseq_q[2]; + assign _0145_ = _0143_ & _0144_; + assign _0146_ = _0145_ & wbseq_q[1]; + assign _0147_ = _0146_ & wbseq_q[0]; + assign _0148_ = _0142_ | _0147_; + assign _0149_ = ~ wbseq_q[3]; + assign _0150_ = _0149_ & wbseq_q[2]; + assign _0151_ = _0150_ & wbseq_q[1]; + assign _0152_ = _0151_ & wbseq_q[0]; + assign _0153_ = _0148_ | _0152_; + assign _0154_ = ~ wbseq_q[2]; + assign _0155_ = wbseq_q[3] & _0154_; + assign _0156_ = _0155_ & wbseq_q[1]; + assign _0157_ = _0156_ & wbseq_q[0]; + assign _0158_ = _0153_ | _0157_; + assign _0159_ = wbseq_q[3] & wbseq_q[2]; + assign _0160_ = ~ wbseq_q[1]; + assign _0161_ = _0159_ & _0160_; + assign _0162_ = ~ wbseq_q[0]; + assign _0163_ = _0161_ & _0162_; + assign _0164_ = _0158_ | _0163_; + assign _0165_ = wbseq_q[3] & wbseq_q[2]; + assign _0166_ = ~ wbseq_q[1]; + assign _0167_ = _0165_ & _0166_; + assign _0168_ = _0167_ & wbseq_q[0]; + assign wbseq_err = _0164_ | _0168_; + assign _0169_ = oseq_q[3] & oseq_q[2]; + assign _0170_ = _0169_ & oseq_q[1]; + assign _0171_ = _0170_ & oseq_q[0]; + assign _0172_ = _0171_ & oseq_hold; + assign _0173_ = oseq_q[3] & oseq_q[2]; + assign _0174_ = _0173_ & oseq_q[1]; + assign _0175_ = _0174_ & oseq_q[0]; + assign _0176_ = ~ wb_remote_rd; + assign _0177_ = _0175_ & _0176_; + assign _0178_ = ~ wb_remote_wr; + assign _0179_ = _0177_ & _0178_; + assign _0180_ = _0172_ | _0179_; + assign _0181_ = oseq_q[3] & oseq_q[2]; + assign _0182_ = _0181_ & oseq_q[1]; + assign _0183_ = _0182_ & oseq_q[0]; + assign _0184_ = ~ oseq_hold; + assign _0185_ = _0183_ & _0184_; + assign _0186_ = ~ oclk_advance; + assign _0187_ = _0185_ & _0186_; + assign _0188_ = _0180_ | _0187_; + assign _0189_ = ~ oseq_q[3]; + assign _0190_ = ~ oseq_q[2]; + assign _0191_ = _0189_ & _0190_; + assign _0192_ = _0191_ & oseq_q[1]; + assign _0193_ = ~ oseq_q[0]; + assign _0194_ = _0192_ & _0193_; + assign _0195_ = ~ wb_remote_wr; + assign _0196_ = _0194_ & _0195_; + assign _0197_ = _0196_ & oclk_advance; + assign _0198_ = _0197_ & oaddr_last; + assign _0199_ = _0188_ | _0198_; + assign _0200_ = ~ oseq_q[3]; + assign _0201_ = _0200_ & oseq_q[2]; + assign _0202_ = ~ oseq_q[1]; + assign _0203_ = _0201_ & _0202_; + assign _0204_ = ~ oseq_q[0]; + assign _0205_ = _0203_ & _0204_; + assign _0206_ = _0205_ & oclk_advance; + assign _0207_ = _0206_ & odata_last; + assign _0208_ = _0199_ | _0207_; + assign _0209_ = ~ oseq_q[2]; + assign _0210_ = oseq_q[3] & _0209_; + assign _0211_ = ~ oseq_q[1]; + assign _0212_ = _0210_ & _0211_; + assign _0213_ = ~ oseq_q[0]; + assign _0214_ = _0212_ & _0213_; + assign _0215_ = _0208_ | _0214_; + assign _0216_ = ~ oseq_q[2]; + assign _0217_ = oseq_q[3] & _0216_; + assign _0218_ = ~ oseq_q[1]; + assign _0219_ = _0217_ & _0218_; + assign _0220_ = _0219_ & oseq_q[0]; + assign _0221_ = _0215_ | _0220_; + assign _0222_ = ~ oseq_q[2]; + assign _0223_ = oseq_q[3] & _0222_; + assign _0224_ = _0223_ & oseq_q[1]; + assign _0225_ = ~ oseq_q[0]; + assign _0226_ = _0224_ & _0225_; + assign _0227_ = _0221_ | _0226_; + assign _0228_ = ~ oseq_q[2]; + assign _0229_ = oseq_q[3] & _0228_; + assign _0230_ = _0229_ & oseq_q[1]; + assign _0231_ = _0230_ & oseq_q[0]; + assign _0232_ = _0227_ | _0231_; + assign _0233_ = oseq_q[3] & oseq_q[2]; + assign _0234_ = ~ oseq_q[1]; + assign _0235_ = _0233_ & _0234_; + assign _0236_ = ~ oseq_q[0]; + assign _0237_ = _0235_ & _0236_; + assign _0238_ = _0232_ | _0237_; + assign _0239_ = oseq_q[3] & oseq_q[2]; + assign _0240_ = ~ oseq_q[1]; + assign _0241_ = _0239_ & _0240_; + assign _0242_ = _0241_ & oseq_q[0]; + assign _0243_ = _0238_ | _0242_; + assign _0244_ = oseq_q[3] & oseq_q[2]; + assign _0245_ = _0244_ & oseq_q[1]; + assign _0246_ = ~ oseq_q[0]; + assign _0247_ = _0245_ & _0246_; + assign _0248_ = _0243_ | _0247_; + assign _0249_ = oseq_q[3] & oseq_q[2]; + assign _0250_ = _0249_ & oseq_q[1]; + assign _0251_ = _0250_ & oseq_q[0]; + assign _0252_ = _0251_ & oseq_hold; + assign _0253_ = oseq_q[3] & oseq_q[2]; + assign _0254_ = _0253_ & oseq_q[1]; + assign _0255_ = _0254_ & oseq_q[0]; + assign _0256_ = ~ wb_remote_rd; + assign _0257_ = _0255_ & _0256_; + assign _0258_ = ~ wb_remote_wr; + assign _0259_ = _0257_ & _0258_; + assign _0260_ = _0252_ | _0259_; + assign _0261_ = oseq_q[3] & oseq_q[2]; + assign _0262_ = _0261_ & oseq_q[1]; + assign _0263_ = _0262_ & oseq_q[0]; + assign _0264_ = ~ oseq_hold; + assign _0265_ = _0263_ & _0264_; + assign _0266_ = ~ oclk_advance; + assign _0267_ = _0265_ & _0266_; + assign _0268_ = _0260_ | _0267_; + assign _0269_ = ~ oseq_q[3]; + assign _0270_ = ~ oseq_q[2]; + assign _0271_ = _0269_ & _0270_; + assign _0272_ = _0271_ & oseq_q[1]; + assign _0273_ = ~ oseq_q[0]; + assign _0274_ = _0272_ & _0273_; + assign _0275_ = ~ wb_remote_wr; + assign _0276_ = _0274_ & _0275_; + assign _0277_ = _0276_ & oclk_advance; + assign _0278_ = _0277_ & oaddr_last; + assign _0279_ = _0268_ | _0278_; + assign _0280_ = ~ oseq_q[3]; + assign _0281_ = ~ oseq_q[2]; + assign _0282_ = _0280_ & _0281_; + assign _0283_ = _0282_ & oseq_q[1]; + assign _0284_ = _0283_ & oseq_q[0]; + assign _0285_ = _0284_ & oclk_advance; + assign _0286_ = _0279_ | _0285_; + assign _0287_ = ~ oseq_q[3]; + assign _0288_ = _0287_ & oseq_q[2]; + assign _0289_ = ~ oseq_q[1]; + assign _0290_ = _0288_ & _0289_; + assign _0291_ = ~ oseq_q[0]; + assign _0292_ = _0290_ & _0291_; + assign _0293_ = ~ oclk_advance; + assign _0294_ = _0292_ & _0293_; + assign _0295_ = _0286_ | _0294_; + assign _0296_ = ~ oseq_q[3]; + assign _0297_ = _0296_ & oseq_q[2]; + assign _0298_ = ~ oseq_q[1]; + assign _0299_ = _0297_ & _0298_; + assign _0300_ = ~ oseq_q[0]; + assign _0301_ = _0299_ & _0300_; + assign _0302_ = _0301_ & oclk_advance; + assign _0303_ = ~ odata_last; + assign _0304_ = _0302_ & _0303_; + assign _0305_ = _0295_ | _0304_; + assign _0306_ = ~ oseq_q[3]; + assign _0307_ = _0306_ & oseq_q[2]; + assign _0308_ = ~ oseq_q[1]; + assign _0309_ = _0307_ & _0308_; + assign _0310_ = ~ oseq_q[0]; + assign _0311_ = _0309_ & _0310_; + assign _0312_ = _0311_ & oclk_advance; + assign _0313_ = _0312_ & odata_last; + assign _0314_ = _0305_ | _0313_; + assign _0315_ = ~ oseq_q[3]; + assign _0316_ = _0315_ & oseq_q[2]; + assign _0317_ = ~ oseq_q[1]; + assign _0318_ = _0316_ & _0317_; + assign _0319_ = _0318_ & oseq_q[0]; + assign _0320_ = _0314_ | _0319_; + assign _0321_ = ~ oseq_q[3]; + assign _0322_ = _0321_ & oseq_q[2]; + assign _0323_ = _0322_ & oseq_q[1]; + assign _0324_ = ~ oseq_q[0]; + assign _0325_ = _0323_ & _0324_; + assign _0326_ = _0320_ | _0325_; + assign _0327_ = ~ oseq_q[3]; + assign _0328_ = _0327_ & oseq_q[2]; + assign _0329_ = _0328_ & oseq_q[1]; + assign _0330_ = _0329_ & oseq_q[0]; + assign _0331_ = _0326_ | _0330_; + assign _0332_ = oseq_q[3] & oseq_q[2]; + assign _0333_ = ~ oseq_q[1]; + assign _0334_ = _0332_ & _0333_; + assign _0335_ = ~ oseq_q[0]; + assign _0336_ = _0334_ & _0335_; + assign _0337_ = _0331_ | _0336_; + assign _0338_ = oseq_q[3] & oseq_q[2]; + assign _0339_ = ~ oseq_q[1]; + assign _0340_ = _0338_ & _0339_; + assign _0341_ = _0340_ & oseq_q[0]; + assign _0342_ = _0337_ | _0341_; + assign _0343_ = oseq_q[3] & oseq_q[2]; + assign _0344_ = _0343_ & oseq_q[1]; + assign _0345_ = ~ oseq_q[0]; + assign _0346_ = _0344_ & _0345_; + assign _0347_ = _0342_ | _0346_; + assign _0348_ = oseq_q[3] & oseq_q[2]; + assign _0349_ = _0348_ & oseq_q[1]; + assign _0350_ = _0349_ & oseq_q[0]; + assign _0351_ = _0350_ & oseq_hold; + assign _0352_ = oseq_q[3] & oseq_q[2]; + assign _0353_ = _0352_ & oseq_q[1]; + assign _0354_ = _0353_ & oseq_q[0]; + assign _0355_ = ~ wb_remote_rd; + assign _0356_ = _0354_ & _0355_; + assign _0357_ = ~ wb_remote_wr; + assign _0358_ = _0356_ & _0357_; + assign _0359_ = _0351_ | _0358_; + assign _0360_ = oseq_q[3] & oseq_q[2]; + assign _0361_ = _0360_ & oseq_q[1]; + assign _0362_ = _0361_ & oseq_q[0]; + assign _0363_ = ~ oseq_hold; + assign _0364_ = _0362_ & _0363_; + assign _0365_ = ~ oclk_advance; + assign _0366_ = _0364_ & _0365_; + assign _0367_ = _0359_ | _0366_; + assign _0368_ = ~ oseq_q[3]; + assign _0369_ = ~ oseq_q[2]; + assign _0370_ = _0368_ & _0369_; + assign _0371_ = ~ oseq_q[1]; + assign _0372_ = _0370_ & _0371_; + assign _0373_ = _0372_ & oseq_q[0]; + assign _0374_ = _0373_ & wb_remote_rd; + assign _0375_ = _0374_ & oclk_advance; + assign _0376_ = _0367_ | _0375_; + assign _0377_ = ~ oseq_q[3]; + assign _0378_ = ~ oseq_q[2]; + assign _0379_ = _0377_ & _0378_; + assign _0380_ = ~ oseq_q[1]; + assign _0381_ = _0379_ & _0380_; + assign _0382_ = _0381_ & oseq_q[0]; + assign _0383_ = _0382_ & wb_remote_wr; + assign _0384_ = _0383_ & oclk_advance; + assign _0385_ = _0376_ | _0384_; + assign _0386_ = ~ oseq_q[3]; + assign _0387_ = ~ oseq_q[2]; + assign _0388_ = _0386_ & _0387_; + assign _0389_ = _0388_ & oseq_q[1]; + assign _0390_ = ~ oseq_q[0]; + assign _0391_ = _0389_ & _0390_; + assign _0392_ = ~ oclk_advance; + assign _0393_ = _0391_ & _0392_; + assign _0394_ = _0385_ | _0393_; + assign _0395_ = ~ oseq_q[3]; + assign _0396_ = ~ oseq_q[2]; + assign _0397_ = _0395_ & _0396_; + assign _0398_ = _0397_ & oseq_q[1]; + assign _0399_ = ~ oseq_q[0]; + assign _0400_ = _0398_ & _0399_; + assign _0401_ = _0400_ & oclk_advance; + assign _0402_ = ~ oaddr_last; + assign _0403_ = _0401_ & _0402_; + assign _0404_ = _0394_ | _0403_; + assign _0405_ = ~ oseq_q[3]; + assign _0406_ = ~ oseq_q[2]; + assign _0407_ = _0405_ & _0406_; + assign _0408_ = _0407_ & oseq_q[1]; + assign _0409_ = ~ oseq_q[0]; + assign _0410_ = _0408_ & _0409_; + assign _0411_ = ~ wb_remote_wr; + assign _0412_ = _0410_ & _0411_; + assign _0413_ = _0412_ & oclk_advance; + assign _0414_ = _0413_ & oaddr_last; + assign _0415_ = _0404_ | _0414_; + assign _0416_ = ~ oseq_q[3]; + assign _0417_ = ~ oseq_q[2]; + assign _0418_ = _0416_ & _0417_; + assign _0419_ = _0418_ & oseq_q[1]; + assign _0420_ = ~ oseq_q[0]; + assign _0421_ = _0419_ & _0420_; + assign _0422_ = _0421_ & wb_remote_wr; + assign _0423_ = _0422_ & oclk_advance; + assign _0424_ = _0423_ & oaddr_last; + assign _0425_ = _0415_ | _0424_; + assign _0426_ = ~ oseq_q[3]; + assign _0427_ = ~ oseq_q[2]; + assign _0428_ = _0426_ & _0427_; + assign _0429_ = _0428_ & oseq_q[1]; + assign _0430_ = _0429_ & oseq_q[0]; + assign _0431_ = ~ oclk_advance; + assign _0432_ = _0430_ & _0431_; + assign _0433_ = _0425_ | _0432_; + assign _0434_ = ~ oseq_q[3]; + assign _0435_ = _0434_ & oseq_q[2]; + assign _0436_ = ~ oseq_q[1]; + assign _0437_ = _0435_ & _0436_; + assign _0438_ = ~ oseq_q[0]; + assign _0439_ = _0437_ & _0438_; + assign _0440_ = _0439_ & oclk_advance; + assign _0441_ = _0440_ & odata_last; + assign _0442_ = _0433_ | _0441_; + assign _0443_ = ~ oseq_q[3]; + assign _0444_ = _0443_ & oseq_q[2]; + assign _0445_ = _0444_ & oseq_q[1]; + assign _0446_ = ~ oseq_q[0]; + assign _0447_ = _0445_ & _0446_; + assign _0448_ = _0442_ | _0447_; + assign _0449_ = ~ oseq_q[3]; + assign _0450_ = _0449_ & oseq_q[2]; + assign _0451_ = _0450_ & oseq_q[1]; + assign _0452_ = _0451_ & oseq_q[0]; + assign _0453_ = _0448_ | _0452_; + assign _0454_ = ~ oseq_q[2]; + assign _0455_ = oseq_q[3] & _0454_; + assign _0456_ = _0455_ & oseq_q[1]; + assign _0457_ = ~ oseq_q[0]; + assign _0458_ = _0456_ & _0457_; + assign _0459_ = _0453_ | _0458_; + assign _0460_ = ~ oseq_q[2]; + assign _0461_ = oseq_q[3] & _0460_; + assign _0462_ = _0461_ & oseq_q[1]; + assign _0463_ = _0462_ & oseq_q[0]; + assign _0464_ = _0459_ | _0463_; + assign _0465_ = oseq_q[3] & oseq_q[2]; + assign _0466_ = _0465_ & oseq_q[1]; + assign _0467_ = ~ oseq_q[0]; + assign _0468_ = _0466_ & _0467_; + assign _0469_ = _0464_ | _0468_; + assign _0470_ = oseq_q[3] & oseq_q[2]; + assign _0471_ = _0470_ & oseq_q[1]; + assign _0472_ = _0471_ & oseq_q[0]; + assign _0473_ = _0472_ & oseq_hold; + assign _0474_ = oseq_q[3] & oseq_q[2]; + assign _0475_ = _0474_ & oseq_q[1]; + assign _0476_ = _0475_ & oseq_q[0]; + assign _0477_ = ~ wb_remote_rd; + assign _0478_ = _0476_ & _0477_; + assign _0479_ = ~ wb_remote_wr; + assign _0480_ = _0478_ & _0479_; + assign _0481_ = _0473_ | _0480_; + assign _0482_ = oseq_q[3] & oseq_q[2]; + assign _0483_ = _0482_ & oseq_q[1]; + assign _0484_ = _0483_ & oseq_q[0]; + assign _0485_ = ~ oseq_hold; + assign _0486_ = _0484_ & _0485_; + assign _0487_ = ~ oclk_advance; + assign _0488_ = _0486_ & _0487_; + assign _0489_ = _0481_ | _0488_; + assign _0490_ = oseq_q[3] & oseq_q[2]; + assign _0491_ = _0490_ & oseq_q[1]; + assign _0492_ = _0491_ & oseq_q[0]; + assign _0493_ = ~ oseq_hold; + assign _0494_ = _0492_ & _0493_; + assign _0495_ = _0494_ & wb_remote_rd; + assign _0496_ = _0495_ & oclk_advance; + assign _0497_ = _0489_ | _0496_; + assign _0498_ = oseq_q[3] & oseq_q[2]; + assign _0499_ = _0498_ & oseq_q[1]; + assign _0500_ = _0499_ & oseq_q[0]; + assign _0501_ = ~ oseq_hold; + assign _0502_ = _0500_ & _0501_; + assign _0503_ = _0502_ & wb_remote_wr; + assign _0504_ = _0503_ & oclk_advance; + assign _0505_ = _0497_ | _0504_; + assign _0506_ = ~ oseq_q[3]; + assign _0507_ = ~ oseq_q[2]; + assign _0508_ = _0506_ & _0507_; + assign _0509_ = ~ oseq_q[1]; + assign _0510_ = _0508_ & _0509_; + assign _0511_ = _0510_ & oseq_q[0]; + assign _0512_ = ~ oclk_advance; + assign _0513_ = _0511_ & _0512_; + assign _0514_ = _0505_ | _0513_; + assign _0515_ = ~ oseq_q[3]; + assign _0516_ = ~ oseq_q[2]; + assign _0517_ = _0515_ & _0516_; + assign _0518_ = _0517_ & oseq_q[1]; + assign _0519_ = ~ oseq_q[0]; + assign _0520_ = _0518_ & _0519_; + assign _0521_ = ~ wb_remote_wr; + assign _0522_ = _0520_ & _0521_; + assign _0523_ = _0522_ & oclk_advance; + assign _0524_ = _0523_ & oaddr_last; + assign _0525_ = _0514_ | _0524_; + assign _0526_ = ~ oseq_q[3]; + assign _0527_ = ~ oseq_q[2]; + assign _0528_ = _0526_ & _0527_; + assign _0529_ = _0528_ & oseq_q[1]; + assign _0530_ = ~ oseq_q[0]; + assign _0531_ = _0529_ & _0530_; + assign _0532_ = _0531_ & wb_remote_wr; + assign _0533_ = _0532_ & oclk_advance; + assign _0534_ = _0533_ & oaddr_last; + assign _0535_ = _0525_ | _0534_; + assign _0536_ = ~ oseq_q[3]; + assign _0537_ = ~ oseq_q[2]; + assign _0538_ = _0536_ & _0537_; + assign _0539_ = _0538_ & oseq_q[1]; + assign _0540_ = _0539_ & oseq_q[0]; + assign _0541_ = ~ oclk_advance; + assign _0542_ = _0540_ & _0541_; + assign _0543_ = _0535_ | _0542_; + assign _0544_ = ~ oseq_q[3]; + assign _0545_ = _0544_ & oseq_q[2]; + assign _0546_ = ~ oseq_q[1]; + assign _0547_ = _0545_ & _0546_; + assign _0548_ = ~ oseq_q[0]; + assign _0549_ = _0547_ & _0548_; + assign _0550_ = _0549_ & oclk_advance; + assign _0551_ = _0550_ & odata_last; + assign _0552_ = _0543_ | _0551_; + assign _0553_ = ~ oseq_q[3]; + assign _0554_ = _0553_ & oseq_q[2]; + assign _0555_ = ~ oseq_q[1]; + assign _0556_ = _0554_ & _0555_; + assign _0557_ = _0556_ & oseq_q[0]; + assign _0558_ = _0552_ | _0557_; + assign _0559_ = ~ oseq_q[3]; + assign _0560_ = _0559_ & oseq_q[2]; + assign _0561_ = _0560_ & oseq_q[1]; + assign _0562_ = _0561_ & oseq_q[0]; + assign _0563_ = _0558_ | _0562_; + assign _0564_ = ~ oseq_q[2]; + assign _0565_ = oseq_q[3] & _0564_; + assign _0566_ = ~ oseq_q[1]; + assign _0567_ = _0565_ & _0566_; + assign _0568_ = _0567_ & oseq_q[0]; + assign _0569_ = _0563_ | _0568_; + assign _0570_ = ~ oseq_q[2]; + assign _0571_ = oseq_q[3] & _0570_; + assign _0572_ = _0571_ & oseq_q[1]; + assign _0573_ = _0572_ & oseq_q[0]; + assign _0574_ = _0569_ | _0573_; + assign _0575_ = oseq_q[3] & oseq_q[2]; + assign _0576_ = ~ oseq_q[1]; + assign _0577_ = _0575_ & _0576_; + assign _0578_ = _0577_ & oseq_q[0]; + assign _0579_ = _0574_ | _0578_; + assign _0580_ = oseq_q[3] & oseq_q[2]; + assign _0581_ = _0580_ & oseq_q[1]; + assign _0582_ = _0581_ & oseq_q[0]; + assign _0583_ = ~ oseq_hold; + assign _0584_ = _0582_ & _0583_; + assign _0585_ = _0584_ & wb_remote_rd; + assign _0586_ = _0585_ & oclk_advance; + assign _0587_ = oseq_q[3] & oseq_q[2]; + assign _0588_ = _0587_ & oseq_q[1]; + assign _0589_ = _0588_ & oseq_q[0]; + assign _0590_ = ~ oseq_hold; + assign _0591_ = _0589_ & _0590_; + assign _0592_ = _0591_ & wb_remote_wr; + assign _0593_ = _0592_ & oclk_advance; + assign odata_ld_header = _0586_ | _0593_; + assign _0594_ = ~ oseq_q[3]; + assign _0595_ = ~ oseq_q[2]; + assign _0596_ = _0594_ & _0595_; + assign _0597_ = ~ oseq_q[1]; + assign _0598_ = _0596_ & _0597_; + assign _0599_ = _0598_ & oseq_q[0]; + assign _0600_ = _0599_ & wb_remote_rd; + assign _0601_ = _0600_ & oclk_advance; + assign _0602_ = ~ oseq_q[3]; + assign _0603_ = ~ oseq_q[2]; + assign _0604_ = _0602_ & _0603_; + assign _0605_ = ~ oseq_q[1]; + assign _0606_ = _0604_ & _0605_; + assign _0607_ = _0606_ & oseq_q[0]; + assign _0608_ = _0607_ & wb_remote_wr; + assign _0609_ = _0608_ & oclk_advance; + assign _0610_ = _0601_ | _0609_; + assign _0611_ = ~ oseq_q[3]; + assign _0612_ = ~ oseq_q[2]; + assign _0613_ = _0611_ & _0612_; + assign _0614_ = _0613_ & oseq_q[1]; + assign _0615_ = ~ oseq_q[0]; + assign _0616_ = _0614_ & _0615_; + assign _0617_ = ~ oclk_advance; + assign _0618_ = _0616_ & _0617_; + assign _0619_ = _0610_ | _0618_; + assign _0620_ = ~ oseq_q[3]; + assign _0621_ = ~ oseq_q[2]; + assign _0622_ = _0620_ & _0621_; + assign _0623_ = _0622_ & oseq_q[1]; + assign _0624_ = ~ oseq_q[0]; + assign _0625_ = _0623_ & _0624_; + assign _0626_ = _0625_ & oclk_advance; + assign _0627_ = ~ oaddr_last; + assign _0628_ = _0626_ & _0627_; + assign odata_ld_addr = _0619_ | _0628_; + assign _0629_ = ~ oseq_q[3]; + assign _0630_ = ~ oseq_q[2]; + assign _0631_ = _0629_ & _0630_; + assign _0632_ = _0631_ & oseq_q[1]; + assign _0633_ = ~ oseq_q[0]; + assign _0634_ = _0632_ & _0633_; + assign _0635_ = _0634_ & wb_remote_wr; + assign _0636_ = _0635_ & oclk_advance; + assign odata_ld_sel = _0636_ & oaddr_last; + assign _0637_ = oseq_q[3] & oseq_q[2]; + assign _0638_ = _0637_ & oseq_q[1]; + assign _0639_ = _0638_ & oseq_q[0]; + assign _0640_ = _0639_ & oseq_hold; + assign _0641_ = oseq_q[3] & oseq_q[2]; + assign _0642_ = _0641_ & oseq_q[1]; + assign _0643_ = _0642_ & oseq_q[0]; + assign _0644_ = ~ wb_remote_rd; + assign _0645_ = _0643_ & _0644_; + assign _0646_ = ~ wb_remote_wr; + assign _0647_ = _0645_ & _0646_; + assign _0648_ = _0640_ | _0647_; + assign _0649_ = oseq_q[3] & oseq_q[2]; + assign _0650_ = _0649_ & oseq_q[1]; + assign _0651_ = _0650_ & oseq_q[0]; + assign _0652_ = ~ oseq_hold; + assign _0653_ = _0651_ & _0652_; + assign _0654_ = ~ oclk_advance; + assign _0655_ = _0653_ & _0654_; + assign _0656_ = _0648_ | _0655_; + assign _0657_ = ~ oseq_q[3]; + assign _0658_ = ~ oseq_q[2]; + assign _0659_ = _0657_ & _0658_; + assign _0660_ = _0659_ & oseq_q[1]; + assign _0661_ = ~ oseq_q[0]; + assign _0662_ = _0660_ & _0661_; + assign _0663_ = ~ wb_remote_wr; + assign _0664_ = _0662_ & _0663_; + assign _0665_ = _0664_ & oclk_advance; + assign _0666_ = _0665_ & oaddr_last; + assign _0667_ = _0656_ | _0666_; + assign _0668_ = ~ oseq_q[3]; + assign _0669_ = ~ oseq_q[2]; + assign _0670_ = _0668_ & _0669_; + assign _0671_ = _0670_ & oseq_q[1]; + assign _0672_ = ~ oseq_q[0]; + assign _0673_ = _0671_ & _0672_; + assign _0674_ = _0673_ & wb_remote_wr; + assign _0675_ = _0674_ & oclk_advance; + assign _0676_ = _0675_ & oaddr_last; + assign odata_clear = _0667_ | _0676_; + assign _0677_ = ~ oseq_q[3]; + assign _0678_ = ~ oseq_q[2]; + assign _0679_ = _0677_ & _0678_; + assign _0680_ = _0679_ & oseq_q[1]; + assign _0681_ = _0680_ & oseq_q[0]; + assign _0682_ = _0681_ & oclk_advance; + assign _0683_ = ~ oseq_q[3]; + assign _0684_ = _0683_ & oseq_q[2]; + assign _0685_ = ~ oseq_q[1]; + assign _0686_ = _0684_ & _0685_; + assign _0687_ = ~ oseq_q[0]; + assign _0688_ = _0686_ & _0687_; + assign _0689_ = ~ oclk_advance; + assign _0690_ = _0688_ & _0689_; + assign _0691_ = _0682_ | _0690_; + assign _0692_ = ~ oseq_q[3]; + assign _0693_ = _0692_ & oseq_q[2]; + assign _0694_ = ~ oseq_q[1]; + assign _0695_ = _0693_ & _0694_; + assign _0696_ = ~ oseq_q[0]; + assign _0697_ = _0695_ & _0696_; + assign _0698_ = _0697_ & oclk_advance; + assign _0699_ = ~ odata_last; + assign _0700_ = _0698_ & _0699_; + assign _0701_ = _0691_ | _0700_; + assign _0702_ = ~ oseq_q[3]; + assign _0703_ = _0702_ & oseq_q[2]; + assign _0704_ = ~ oseq_q[1]; + assign _0705_ = _0703_ & _0704_; + assign _0706_ = ~ oseq_q[0]; + assign _0707_ = _0705_ & _0706_; + assign _0708_ = _0707_ & oclk_advance; + assign _0709_ = _0708_ & odata_last; + assign odata_ld_data = _0701_ | _0709_; + assign _0710_ = ~ oseq_q[3]; + assign _0711_ = ~ oseq_q[2]; + assign _0712_ = _0710_ & _0711_; + assign _0713_ = _0712_ & oseq_q[1]; + assign _0714_ = ~ oseq_q[0]; + assign _0715_ = _0713_ & _0714_; + assign _0716_ = ~ wb_remote_wr; + assign _0717_ = _0715_ & _0716_; + assign _0718_ = _0717_ & oclk_advance; + assign _0719_ = _0718_ & oaddr_last; + assign _0720_ = ~ oseq_q[3]; + assign _0721_ = _0720_ & oseq_q[2]; + assign _0722_ = ~ oseq_q[1]; + assign _0723_ = _0721_ & _0722_; + assign _0724_ = ~ oseq_q[0]; + assign _0725_ = _0723_ & _0724_; + assign _0726_ = _0725_ & oclk_advance; + assign _0727_ = _0726_ & odata_last; + assign ob_complete = _0719_ | _0727_; + assign _0728_ = ~ oseq_q[3]; + assign _0729_ = ~ oseq_q[2]; + assign _0730_ = _0728_ & _0729_; + assign _0731_ = ~ oseq_q[1]; + assign _0732_ = _0730_ & _0731_; + assign _0733_ = ~ oseq_q[0]; + assign _0734_ = _0732_ & _0733_; + assign _0735_ = ~ oseq_q[3]; + assign _0736_ = _0735_ & oseq_q[2]; + assign _0737_ = ~ oseq_q[1]; + assign _0738_ = _0736_ & _0737_; + assign _0739_ = _0738_ & oseq_q[0]; + assign _0740_ = _0734_ | _0739_; + assign _0741_ = ~ oseq_q[3]; + assign _0742_ = _0741_ & oseq_q[2]; + assign _0743_ = _0742_ & oseq_q[1]; + assign _0744_ = ~ oseq_q[0]; + assign _0745_ = _0743_ & _0744_; + assign _0746_ = _0740_ | _0745_; + assign _0747_ = ~ oseq_q[3]; + assign _0748_ = _0747_ & oseq_q[2]; + assign _0749_ = _0748_ & oseq_q[1]; + assign _0750_ = _0749_ & oseq_q[0]; + assign _0751_ = _0746_ | _0750_; + assign _0752_ = ~ oseq_q[2]; + assign _0753_ = oseq_q[3] & _0752_; + assign _0754_ = ~ oseq_q[1]; + assign _0755_ = _0753_ & _0754_; + assign _0756_ = ~ oseq_q[0]; + assign _0757_ = _0755_ & _0756_; + assign _0758_ = _0751_ | _0757_; + assign _0759_ = ~ oseq_q[2]; + assign _0760_ = oseq_q[3] & _0759_; + assign _0761_ = ~ oseq_q[1]; + assign _0762_ = _0760_ & _0761_; + assign _0763_ = _0762_ & oseq_q[0]; + assign _0764_ = _0758_ | _0763_; + assign _0765_ = ~ oseq_q[2]; + assign _0766_ = oseq_q[3] & _0765_; + assign _0767_ = _0766_ & oseq_q[1]; + assign _0768_ = ~ oseq_q[0]; + assign _0769_ = _0767_ & _0768_; + assign _0770_ = _0764_ | _0769_; + assign _0771_ = ~ oseq_q[2]; + assign _0772_ = oseq_q[3] & _0771_; + assign _0773_ = _0772_ & oseq_q[1]; + assign _0774_ = _0773_ & oseq_q[0]; + assign _0775_ = _0770_ | _0774_; + assign _0776_ = oseq_q[3] & oseq_q[2]; + assign _0777_ = ~ oseq_q[1]; + assign _0778_ = _0776_ & _0777_; + assign _0779_ = ~ oseq_q[0]; + assign _0780_ = _0778_ & _0779_; + assign _0781_ = _0775_ | _0780_; + assign _0782_ = oseq_q[3] & oseq_q[2]; + assign _0783_ = ~ oseq_q[1]; + assign _0784_ = _0782_ & _0783_; + assign _0785_ = _0784_ & oseq_q[0]; + assign _0786_ = _0781_ | _0785_; + assign _0787_ = oseq_q[3] & oseq_q[2]; + assign _0788_ = _0787_ & oseq_q[1]; + assign _0789_ = ~ oseq_q[0]; + assign _0790_ = _0788_ & _0789_; + assign oseq_err = _0786_ | _0790_; + assign _0791_ = iseq_q[3] & iseq_q[2]; + assign _0792_ = _0791_ & iseq_q[1]; + assign _0793_ = _0792_ & iseq_q[0]; + assign _0794_ = ~ icapture_q; + assign _0795_ = _0793_ & _0794_; + assign _0796_ = iseq_q[3] & iseq_q[2]; + assign _0797_ = _0796_ & iseq_q[1]; + assign _0798_ = _0797_ & iseq_q[0]; + assign _0799_ = _0798_ & icapture_q; + assign _0800_ = _0799_ & idle_header; + assign _0801_ = _0795_ | _0800_; + assign _0802_ = iseq_q[3] & iseq_q[2]; + assign _0803_ = _0802_ & iseq_q[1]; + assign _0804_ = _0803_ & iseq_q[0]; + assign _0805_ = _0804_ & icapture_q; + assign _0806_ = _0805_ & rd8_rsp; + assign _0807_ = _0801_ | _0806_; + assign _0808_ = ~ iseq_q[2]; + assign _0809_ = iseq_q[3] & _0808_; + assign _0810_ = ~ iseq_q[1]; + assign _0811_ = _0809_ & _0810_; + assign _0812_ = ~ iseq_q[0]; + assign _0813_ = _0811_ & _0812_; + assign _0814_ = ~ icapture_q; + assign _0815_ = _0813_ & _0814_; + assign _0816_ = _0807_ | _0815_; + assign _0817_ = ~ iseq_q[2]; + assign _0818_ = iseq_q[3] & _0817_; + assign _0819_ = ~ iseq_q[1]; + assign _0820_ = _0818_ & _0819_; + assign _0821_ = ~ iseq_q[0]; + assign _0822_ = _0820_ & _0821_; + assign _0823_ = _0822_ & icapture_q; + assign _0824_ = ~ rd_rsp_data_done; + assign _0825_ = _0823_ & _0824_; + assign _0826_ = _0816_ | _0825_; + assign _0827_ = ~ iseq_q[2]; + assign _0828_ = iseq_q[3] & _0827_; + assign _0829_ = ~ iseq_q[1]; + assign _0830_ = _0828_ & _0829_; + assign _0831_ = ~ iseq_q[0]; + assign _0832_ = _0830_ & _0831_; + assign _0833_ = _0832_ & icapture_q; + assign _0834_ = _0833_ & rd_rsp_data_done; + assign _0835_ = _0826_ | _0834_; + assign _0836_ = ~ iseq_q[3]; + assign _0837_ = ~ iseq_q[2]; + assign _0838_ = _0836_ & _0837_; + assign _0839_ = ~ iseq_q[1]; + assign _0840_ = _0838_ & _0839_; + assign _0841_ = _0840_ & iseq_q[0]; + assign _0842_ = _0835_ | _0841_; + assign _0843_ = ~ iseq_q[3]; + assign _0844_ = ~ iseq_q[2]; + assign _0845_ = _0843_ & _0844_; + assign _0846_ = _0845_ & iseq_q[1]; + assign _0847_ = ~ iseq_q[0]; + assign _0848_ = _0846_ & _0847_; + assign _0849_ = _0842_ | _0848_; + assign _0850_ = ~ iseq_q[3]; + assign _0851_ = _0850_ & iseq_q[2]; + assign _0852_ = _0851_ & iseq_q[1]; + assign _0853_ = _0852_ & iseq_q[0]; + assign _0854_ = _0853_ & icapture_q; + assign _0855_ = _0854_ & idle_header; + assign _0856_ = _0849_ | _0855_; + assign _0857_ = ~ iseq_q[2]; + assign _0858_ = iseq_q[3] & _0857_; + assign _0859_ = ~ iseq_q[1]; + assign _0860_ = _0858_ & _0859_; + assign _0861_ = _0860_ & iseq_q[0]; + assign _0862_ = _0856_ | _0861_; + assign _0863_ = ~ iseq_q[2]; + assign _0864_ = iseq_q[3] & _0863_; + assign _0865_ = _0864_ & iseq_q[1]; + assign _0866_ = ~ iseq_q[0]; + assign _0867_ = _0865_ & _0866_; + assign _0868_ = _0862_ | _0867_; + assign _0869_ = ~ iseq_q[2]; + assign _0870_ = iseq_q[3] & _0869_; + assign _0871_ = _0870_ & iseq_q[1]; + assign _0872_ = _0871_ & iseq_q[0]; + assign _0873_ = _0868_ | _0872_; + assign _0874_ = iseq_q[3] & iseq_q[2]; + assign _0875_ = ~ iseq_q[1]; + assign _0876_ = _0874_ & _0875_; + assign _0877_ = ~ iseq_q[0]; + assign _0878_ = _0876_ & _0877_; + assign _0879_ = _0873_ | _0878_; + assign _0880_ = iseq_q[3] & iseq_q[2]; + assign _0881_ = ~ iseq_q[1]; + assign _0882_ = _0880_ & _0881_; + assign _0883_ = _0882_ & iseq_q[0]; + assign _0884_ = _0879_ | _0883_; + assign _0885_ = iseq_q[3] & iseq_q[2]; + assign _0886_ = _0885_ & iseq_q[1]; + assign _0887_ = ~ iseq_q[0]; + assign _0888_ = _0886_ & _0887_; + assign _0889_ = _0884_ | _0888_; + assign _0890_ = iseq_q[3] & iseq_q[2]; + assign _0891_ = _0890_ & iseq_q[1]; + assign _0892_ = _0891_ & iseq_q[0]; + assign _0893_ = ~ icapture_q; + assign _0894_ = _0892_ & _0893_; + assign _0895_ = iseq_q[3] & iseq_q[2]; + assign _0896_ = _0895_ & iseq_q[1]; + assign _0897_ = _0896_ & iseq_q[0]; + assign _0898_ = _0897_ & icapture_q; + assign _0899_ = _0898_ & idle_header; + assign _0900_ = _0894_ | _0899_; + assign _0901_ = iseq_q[3] & iseq_q[2]; + assign _0902_ = _0901_ & iseq_q[1]; + assign _0903_ = _0902_ & iseq_q[0]; + assign _0904_ = _0903_ & icapture_q; + assign _0905_ = _0904_ & bad_header; + assign _0906_ = _0900_ | _0905_; + assign _0907_ = iseq_q[3] & iseq_q[2]; + assign _0908_ = _0907_ & iseq_q[1]; + assign _0909_ = _0908_ & iseq_q[0]; + assign _0910_ = _0909_ & icapture_q; + assign _0911_ = _0910_ & sync_ack; + assign _0912_ = _0906_ | _0911_; + assign _0913_ = iseq_q[3] & iseq_q[2]; + assign _0914_ = _0913_ & iseq_q[1]; + assign _0915_ = _0914_ & iseq_q[0]; + assign _0916_ = _0915_ & icapture_q; + assign _0917_ = _0916_ & cache_inv; + assign _0918_ = _0912_ | _0917_; + assign _0919_ = iseq_q[3] & iseq_q[2]; + assign _0920_ = _0919_ & iseq_q[1]; + assign _0921_ = _0920_ & iseq_q[0]; + assign _0922_ = _0921_ & icapture_q; + assign _0923_ = _0922_ & link_req_i; + assign _0924_ = _0918_ | _0923_; + assign _0925_ = iseq_q[3] & iseq_q[2]; + assign _0926_ = _0925_ & iseq_q[1]; + assign _0927_ = _0926_ & iseq_q[0]; + assign _0928_ = _0927_ & icapture_q; + assign _0929_ = _0928_ & link_rsp_i; + assign _0930_ = _0924_ | _0929_; + assign _0931_ = ~ iseq_q[2]; + assign _0932_ = iseq_q[3] & _0931_; + assign _0933_ = ~ iseq_q[1]; + assign _0934_ = _0932_ & _0933_; + assign _0935_ = ~ iseq_q[0]; + assign _0936_ = _0934_ & _0935_; + assign _0937_ = _0936_ & icapture_q; + assign _0938_ = _0937_ & rd_rsp_data_done; + assign _0939_ = _0930_ | _0938_; + assign _0940_ = ~ iseq_q[3]; + assign _0941_ = ~ iseq_q[2]; + assign _0942_ = _0940_ & _0941_; + assign _0943_ = ~ iseq_q[1]; + assign _0944_ = _0942_ & _0943_; + assign _0945_ = _0944_ & iseq_q[0]; + assign _0946_ = _0939_ | _0945_; + assign _0947_ = ~ iseq_q[3]; + assign _0948_ = ~ iseq_q[2]; + assign _0949_ = _0947_ & _0948_; + assign _0950_ = _0949_ & iseq_q[1]; + assign _0951_ = ~ iseq_q[0]; + assign _0952_ = _0950_ & _0951_; + assign _0953_ = _0946_ | _0952_; + assign _0954_ = ~ iseq_q[3]; + assign _0955_ = _0954_ & iseq_q[2]; + assign _0956_ = _0955_ & iseq_q[1]; + assign _0957_ = ~ iseq_q[0]; + assign _0958_ = _0956_ & _0957_; + assign _0959_ = _0953_ | _0958_; + assign _0960_ = ~ iseq_q[3]; + assign _0961_ = _0960_ & iseq_q[2]; + assign _0962_ = _0961_ & iseq_q[1]; + assign _0963_ = _0962_ & iseq_q[0]; + assign _0964_ = ~ icapture_q; + assign _0965_ = _0963_ & _0964_; + assign _0966_ = _0959_ | _0965_; + assign _0967_ = ~ iseq_q[3]; + assign _0968_ = _0967_ & iseq_q[2]; + assign _0969_ = _0968_ & iseq_q[1]; + assign _0970_ = _0969_ & iseq_q[0]; + assign _0971_ = _0970_ & icapture_q; + assign _0972_ = _0971_ & idle_header; + assign _0973_ = _0966_ | _0972_; + assign _0974_ = ~ iseq_q[3]; + assign _0975_ = _0974_ & iseq_q[2]; + assign _0976_ = _0975_ & iseq_q[1]; + assign _0977_ = _0976_ & iseq_q[0]; + assign _0978_ = _0977_ & icapture_q; + assign _0979_ = ~ idle_header; + assign _0980_ = _0978_ & _0979_; + assign _0981_ = _0973_ | _0980_; + assign _0982_ = ~ iseq_q[3]; + assign _0983_ = _0982_ & iseq_q[2]; + assign _0984_ = ~ iseq_q[1]; + assign _0985_ = _0983_ & _0984_; + assign _0986_ = ~ iseq_q[0]; + assign _0987_ = _0985_ & _0986_; + assign _0988_ = _0981_ | _0987_; + assign _0989_ = ~ iseq_q[3]; + assign _0990_ = _0989_ & iseq_q[2]; + assign _0991_ = ~ iseq_q[1]; + assign _0992_ = _0990_ & _0991_; + assign _0993_ = _0992_ & iseq_q[0]; + assign _0994_ = _0988_ | _0993_; + assign _0995_ = iseq_q[3] & iseq_q[2]; + assign _0996_ = ~ iseq_q[1]; + assign _0997_ = _0995_ & _0996_; + assign _0998_ = ~ iseq_q[0]; + assign _0999_ = _0997_ & _0998_; + assign _1000_ = _0994_ | _0999_; + assign _1001_ = iseq_q[3] & iseq_q[2]; + assign _1002_ = ~ iseq_q[1]; + assign _1003_ = _1001_ & _1002_; + assign _1004_ = _1003_ & iseq_q[0]; + assign _1005_ = _1000_ | _1004_; + assign _1006_ = iseq_q[3] & iseq_q[2]; + assign _1007_ = _1006_ & iseq_q[1]; + assign _1008_ = ~ iseq_q[0]; + assign _1009_ = _1007_ & _1008_; + assign _1010_ = _1005_ | _1009_; + assign _1011_ = iseq_q[3] & iseq_q[2]; + assign _1012_ = _1011_ & iseq_q[1]; + assign _1013_ = _1012_ & iseq_q[0]; + assign _1014_ = ~ icapture_q; + assign _1015_ = _1013_ & _1014_; + assign _1016_ = iseq_q[3] & iseq_q[2]; + assign _1017_ = _1016_ & iseq_q[1]; + assign _1018_ = _1017_ & iseq_q[0]; + assign _1019_ = _1018_ & icapture_q; + assign _1020_ = _1019_ & idle_header; + assign _1021_ = _1015_ | _1020_; + assign _1022_ = iseq_q[3] & iseq_q[2]; + assign _1023_ = _1022_ & iseq_q[1]; + assign _1024_ = _1023_ & iseq_q[0]; + assign _1025_ = _1024_ & icapture_q; + assign _1026_ = _1025_ & bad_header; + assign _1027_ = _1021_ | _1026_; + assign _1028_ = iseq_q[3] & iseq_q[2]; + assign _1029_ = _1028_ & iseq_q[1]; + assign _1030_ = _1029_ & iseq_q[0]; + assign _1031_ = _1030_ & icapture_q; + assign _1032_ = _1031_ & int_req; + assign _1033_ = _1027_ | _1032_; + assign _1034_ = iseq_q[3] & iseq_q[2]; + assign _1035_ = _1034_ & iseq_q[1]; + assign _1036_ = _1035_ & iseq_q[0]; + assign _1037_ = _1036_ & icapture_q; + assign _1038_ = _1037_ & sync_ack; + assign _1039_ = _1033_ | _1038_; + assign _1040_ = iseq_q[3] & iseq_q[2]; + assign _1041_ = _1040_ & iseq_q[1]; + assign _1042_ = _1041_ & iseq_q[0]; + assign _1043_ = _1042_ & icapture_q; + assign _1044_ = _1043_ & cache_inv; + assign _1045_ = _1039_ | _1044_; + assign _1046_ = iseq_q[3] & iseq_q[2]; + assign _1047_ = _1046_ & iseq_q[1]; + assign _1048_ = _1047_ & iseq_q[0]; + assign _1049_ = _1048_ & icapture_q; + assign _1050_ = _1049_ & link_req_i; + assign _1051_ = _1045_ | _1050_; + assign _1052_ = iseq_q[3] & iseq_q[2]; + assign _1053_ = _1052_ & iseq_q[1]; + assign _1054_ = _1053_ & iseq_q[0]; + assign _1055_ = _1054_ & icapture_q; + assign _1056_ = _1055_ & link_rsp_i; + assign _1057_ = _1051_ | _1056_; + assign _1058_ = ~ iseq_q[2]; + assign _1059_ = iseq_q[3] & _1058_; + assign _1060_ = ~ iseq_q[1]; + assign _1061_ = _1059_ & _1060_; + assign _1062_ = ~ iseq_q[0]; + assign _1063_ = _1061_ & _1062_; + assign _1064_ = _1063_ & icapture_q; + assign _1065_ = _1064_ & rd_rsp_data_done; + assign _1066_ = _1057_ | _1065_; + assign _1067_ = ~ iseq_q[3]; + assign _1068_ = ~ iseq_q[2]; + assign _1069_ = _1067_ & _1068_; + assign _1070_ = ~ iseq_q[1]; + assign _1071_ = _1069_ & _1070_; + assign _1072_ = _1071_ & iseq_q[0]; + assign _1073_ = _1066_ | _1072_; + assign _1074_ = ~ iseq_q[3]; + assign _1075_ = ~ iseq_q[2]; + assign _1076_ = _1074_ & _1075_; + assign _1077_ = _1076_ & iseq_q[1]; + assign _1078_ = ~ iseq_q[0]; + assign _1079_ = _1077_ & _1078_; + assign _1080_ = _1073_ | _1079_; + assign _1081_ = ~ iseq_q[3]; + assign _1082_ = _1081_ & iseq_q[2]; + assign _1083_ = _1082_ & iseq_q[1]; + assign _1084_ = ~ iseq_q[0]; + assign _1085_ = _1083_ & _1084_; + assign _1086_ = _1080_ | _1085_; + assign _1087_ = ~ iseq_q[3]; + assign _1088_ = _1087_ & iseq_q[2]; + assign _1089_ = _1088_ & iseq_q[1]; + assign _1090_ = _1089_ & iseq_q[0]; + assign _1091_ = ~ icapture_q; + assign _1092_ = _1090_ & _1091_; + assign _1093_ = _1086_ | _1092_; + assign _1094_ = ~ iseq_q[3]; + assign _1095_ = _1094_ & iseq_q[2]; + assign _1096_ = _1095_ & iseq_q[1]; + assign _1097_ = _1096_ & iseq_q[0]; + assign _1098_ = _1097_ & icapture_q; + assign _1099_ = _1098_ & idle_header; + assign _1100_ = _1093_ | _1099_; + assign _1101_ = ~ iseq_q[3]; + assign _1102_ = _1101_ & iseq_q[2]; + assign _1103_ = _1102_ & iseq_q[1]; + assign _1104_ = _1103_ & iseq_q[0]; + assign _1105_ = _1104_ & icapture_q; + assign _1106_ = ~ idle_header; + assign _1107_ = _1105_ & _1106_; + assign _1108_ = _1100_ | _1107_; + assign _1109_ = ~ iseq_q[3]; + assign _1110_ = ~ iseq_q[2]; + assign _1111_ = _1109_ & _1110_; + assign _1112_ = _1111_ & iseq_q[1]; + assign _1113_ = _1112_ & iseq_q[0]; + assign _1114_ = _1108_ | _1113_; + assign _1115_ = ~ iseq_q[2]; + assign _1116_ = iseq_q[3] & _1115_; + assign _1117_ = _1116_ & iseq_q[1]; + assign _1118_ = ~ iseq_q[0]; + assign _1119_ = _1117_ & _1118_; + assign _1120_ = _1114_ | _1119_; + assign _1121_ = ~ iseq_q[2]; + assign _1122_ = iseq_q[3] & _1121_; + assign _1123_ = _1122_ & iseq_q[1]; + assign _1124_ = _1123_ & iseq_q[0]; + assign _1125_ = _1120_ | _1124_; + assign _1126_ = iseq_q[3] & iseq_q[2]; + assign _1127_ = _1126_ & iseq_q[1]; + assign _1128_ = ~ iseq_q[0]; + assign _1129_ = _1127_ & _1128_; + assign _1130_ = _1125_ | _1129_; + assign _1131_ = iseq_q[3] & iseq_q[2]; + assign _1132_ = _1131_ & iseq_q[1]; + assign _1133_ = _1132_ & iseq_q[0]; + assign _1134_ = ~ icapture_q; + assign _1135_ = _1133_ & _1134_; + assign _1136_ = iseq_q[3] & iseq_q[2]; + assign _1137_ = _1136_ & iseq_q[1]; + assign _1138_ = _1137_ & iseq_q[0]; + assign _1139_ = _1138_ & icapture_q; + assign _1140_ = _1139_ & idle_header; + assign _1141_ = _1135_ | _1140_; + assign _1142_ = iseq_q[3] & iseq_q[2]; + assign _1143_ = _1142_ & iseq_q[1]; + assign _1144_ = _1143_ & iseq_q[0]; + assign _1145_ = _1144_ & icapture_q; + assign _1146_ = _1145_ & wr8_rsp; + assign _1147_ = _1141_ | _1146_; + assign _1148_ = ~ iseq_q[2]; + assign _1149_ = iseq_q[3] & _1148_; + assign _1150_ = ~ iseq_q[1]; + assign _1151_ = _1149_ & _1150_; + assign _1152_ = ~ iseq_q[0]; + assign _1153_ = _1151_ & _1152_; + assign _1154_ = _1153_ & icapture_q; + assign _1155_ = _1154_ & rd_rsp_data_done; + assign _1156_ = _1147_ | _1155_; + assign _1157_ = ~ iseq_q[3]; + assign _1158_ = ~ iseq_q[2]; + assign _1159_ = _1157_ & _1158_; + assign _1160_ = ~ iseq_q[1]; + assign _1161_ = _1159_ & _1160_; + assign _1162_ = _1161_ & iseq_q[0]; + assign _1163_ = _1156_ | _1162_; + assign _1164_ = ~ iseq_q[3]; + assign _1165_ = ~ iseq_q[2]; + assign _1166_ = _1164_ & _1165_; + assign _1167_ = _1166_ & iseq_q[1]; + assign _1168_ = ~ iseq_q[0]; + assign _1169_ = _1167_ & _1168_; + assign _1170_ = _1163_ | _1169_; + assign _1171_ = ~ iseq_q[3]; + assign _1172_ = _1171_ & iseq_q[2]; + assign _1173_ = _1172_ & iseq_q[1]; + assign _1174_ = ~ iseq_q[0]; + assign _1175_ = _1173_ & _1174_; + assign _1176_ = _1170_ | _1175_; + assign _1177_ = ~ iseq_q[3]; + assign _1178_ = _1177_ & iseq_q[2]; + assign _1179_ = _1178_ & iseq_q[1]; + assign _1180_ = _1179_ & iseq_q[0]; + assign _1181_ = _1180_ & icapture_q; + assign _1182_ = _1181_ & idle_header; + assign _1183_ = _1176_ | _1182_; + assign _1184_ = ~ iseq_q[3]; + assign _1185_ = ~ iseq_q[2]; + assign _1186_ = _1184_ & _1185_; + assign _1187_ = _1186_ & iseq_q[1]; + assign _1188_ = _1187_ & iseq_q[0]; + assign _1189_ = _1183_ | _1188_; + assign _1190_ = ~ iseq_q[3]; + assign _1191_ = _1190_ & iseq_q[2]; + assign _1192_ = ~ iseq_q[1]; + assign _1193_ = _1191_ & _1192_; + assign _1194_ = _1193_ & iseq_q[0]; + assign _1195_ = _1189_ | _1194_; + assign _1196_ = ~ iseq_q[2]; + assign _1197_ = iseq_q[3] & _1196_; + assign _1198_ = ~ iseq_q[1]; + assign _1199_ = _1197_ & _1198_; + assign _1200_ = _1199_ & iseq_q[0]; + assign _1201_ = _1195_ | _1200_; + assign _1202_ = ~ iseq_q[2]; + assign _1203_ = iseq_q[3] & _1202_; + assign _1204_ = _1203_ & iseq_q[1]; + assign _1205_ = _1204_ & iseq_q[0]; + assign _1206_ = _1201_ | _1205_; + assign _1207_ = iseq_q[3] & iseq_q[2]; + assign _1208_ = ~ iseq_q[1]; + assign _1209_ = _1207_ & _1208_; + assign _1210_ = _1209_ & iseq_q[0]; + assign _1211_ = _1206_ | _1210_; + assign _1212_ = ~ iseq_q[2]; + assign _1213_ = iseq_q[3] & _1212_; + assign _1214_ = ~ iseq_q[1]; + assign _1215_ = _1213_ & _1214_; + assign _1216_ = ~ iseq_q[0]; + assign _1217_ = _1215_ & _1216_; + assign _1218_ = _1217_ & icapture_q; + assign _1219_ = ~ rd_rsp_data_done; + assign _1220_ = _1218_ & _1219_; + assign _1221_ = ~ iseq_q[2]; + assign _1222_ = iseq_q[3] & _1221_; + assign _1223_ = ~ iseq_q[1]; + assign _1224_ = _1222_ & _1223_; + assign _1225_ = ~ iseq_q[0]; + assign _1226_ = _1224_ & _1225_; + assign _1227_ = _1226_ & icapture_q; + assign _1228_ = _1227_ & rd_rsp_data_done; + assign ld_rd_data = _1220_ | _1228_; + assign _1229_ = ~ iseq_q[2]; + assign _1230_ = iseq_q[3] & _1229_; + assign _1231_ = ~ iseq_q[1]; + assign _1232_ = _1230_ & _1231_; + assign _1233_ = ~ iseq_q[0]; + assign _1234_ = _1232_ & _1233_; + assign _1235_ = _1234_ & icapture_q; + assign rd_rsp_complete = _1235_ & rd_rsp_data_done; + assign _1236_ = ~ iseq_q[3]; + assign _1237_ = ~ iseq_q[2]; + assign _1238_ = _1236_ & _1237_; + assign _1239_ = ~ iseq_q[1]; + assign _1240_ = _1238_ & _1239_; + assign wr_rsp_complete = _1240_ & iseq_q[0]; + assign _1241_ = ~ iseq_q[3]; + assign _1242_ = ~ iseq_q[2]; + assign _1243_ = _1241_ & _1242_; + assign _1244_ = _1243_ & iseq_q[1]; + assign _1245_ = ~ iseq_q[0]; + assign int_req_complete = _1244_ & _1245_; + assign _1246_ = iseq_q[3] & iseq_q[2]; + assign _1247_ = _1246_ & iseq_q[1]; + assign _1248_ = _1247_ & iseq_q[0]; + assign _1249_ = ~ icapture_q; + assign _1250_ = _1248_ & _1249_; + assign _1251_ = iseq_q[3] & iseq_q[2]; + assign _1252_ = _1251_ & iseq_q[1]; + assign _1253_ = _1252_ & iseq_q[0]; + assign _1254_ = _1253_ & icapture_q; + assign _1255_ = _1254_ & idle_header; + assign idata_clear = _1250_ | _1255_; + assign _1256_ = ~ iseq_q[3]; + assign _1257_ = ~ iseq_q[2]; + assign _1258_ = _1256_ & _1257_; + assign _1259_ = _1258_ & iseq_q[1]; + assign _1260_ = ~ iseq_q[0]; + assign _1261_ = _1259_ & _1260_; + assign _1262_ = ~ iseq_q[3]; + assign _1263_ = _1262_ & iseq_q[2]; + assign _1264_ = _1263_ & iseq_q[1]; + assign _1265_ = ~ iseq_q[0]; + assign _1266_ = _1264_ & _1265_; + assign save_header = _1261_ | _1266_; + assign _1267_ = iseq_q[3] & iseq_q[2]; + assign _1268_ = _1267_ & iseq_q[1]; + assign iseq_idle = _1268_ & iseq_q[0]; + assign _1269_ = ~ iseq_q[3]; + assign _1270_ = ~ iseq_q[2]; + assign _1271_ = _1269_ & _1270_; + assign _1272_ = ~ iseq_q[1]; + assign _1273_ = _1271_ & _1272_; + assign _1274_ = ~ iseq_q[0]; + assign _1275_ = _1273_ & _1274_; + assign _1276_ = ~ iseq_q[3]; + assign _1277_ = ~ iseq_q[2]; + assign _1278_ = _1276_ & _1277_; + assign _1279_ = _1278_ & iseq_q[1]; + assign _1280_ = _1279_ & iseq_q[0]; + assign _1281_ = _1275_ | _1280_; + assign _1282_ = ~ iseq_q[3]; + assign _1283_ = _1282_ & iseq_q[2]; + assign _1284_ = ~ iseq_q[1]; + assign _1285_ = _1283_ & _1284_; + assign _1286_ = ~ iseq_q[0]; + assign _1287_ = _1285_ & _1286_; + assign _1288_ = _1281_ | _1287_; + assign _1289_ = ~ iseq_q[3]; + assign _1290_ = _1289_ & iseq_q[2]; + assign _1291_ = ~ iseq_q[1]; + assign _1292_ = _1290_ & _1291_; + assign _1293_ = _1292_ & iseq_q[0]; + assign _1294_ = _1288_ | _1293_; + assign _1295_ = ~ iseq_q[2]; + assign _1296_ = iseq_q[3] & _1295_; + assign _1297_ = ~ iseq_q[1]; + assign _1298_ = _1296_ & _1297_; + assign _1299_ = _1298_ & iseq_q[0]; + assign _1300_ = _1294_ | _1299_; + assign _1301_ = ~ iseq_q[2]; + assign _1302_ = iseq_q[3] & _1301_; + assign _1303_ = _1302_ & iseq_q[1]; + assign _1304_ = ~ iseq_q[0]; + assign _1305_ = _1303_ & _1304_; + assign _1306_ = _1300_ | _1305_; + assign _1307_ = ~ iseq_q[2]; + assign _1308_ = iseq_q[3] & _1307_; + assign _1309_ = _1308_ & iseq_q[1]; + assign _1310_ = _1309_ & iseq_q[0]; + assign _1311_ = _1306_ | _1310_; + assign _1312_ = iseq_q[3] & iseq_q[2]; + assign _1313_ = ~ iseq_q[1]; + assign _1314_ = _1312_ & _1313_; + assign _1315_ = ~ iseq_q[0]; + assign _1316_ = _1314_ & _1315_; + assign _1317_ = _1311_ | _1316_; + assign _1318_ = iseq_q[3] & iseq_q[2]; + assign _1319_ = ~ iseq_q[1]; + assign _1320_ = _1318_ & _1319_; + assign _1321_ = _1320_ & iseq_q[0]; + assign _1322_ = _1317_ | _1321_; + assign _1323_ = iseq_q[3] & iseq_q[2]; + assign _1324_ = _1323_ & iseq_q[1]; + assign _1325_ = ~ iseq_q[0]; + assign _1326_ = _1324_ & _1325_; + assign iseq_err = _1322_ | _1326_; + assign _1327_ = config_q[63] | config_q[62]; + assign _1328_ = _1327_ | config_q[61]; + assign _1329_ = _1328_ | config_q[60]; + assign _1330_ = _1329_ | config_q[59]; + assign _1331_ = _1330_ | config_q[58]; + assign _1332_ = _1331_ | config_q[57]; + assign _1333_ = _1332_ | config_q[56]; + assign _1334_ = rst ? 64'h000000001fffc463 : { _1384_, _1379_, _1378_, _1377_, _1376_, _1373_, _1375_, _1372_, _1371_, _1370_, _1369_, _1368_, _1367_, _1366_ }; + assign _1335_ = rst ? 4'hf : { _1722_, _1817_, _1892_, _1963_ }; + assign _1336_ = rst ? 107'h000000000000000000000000000 : { _1358_, _1355_, _1352_, _1361_, _1360_, _1359_ }; + assign _1337_ = rst ? 66'h00000000000000000 : { _0079_, _0106_, _1520_ }; + assign _1338_ = rst ? 1'h0 : oclk_d; + assign _1339_ = rst ? 1'h0 : oclk_last_d; + assign _1340_ = rst ? 8'h00 : odata_d; + assign _1341_ = rst ? 1'h1 : opty_d; + assign _1342_ = rst ? 4'hf : { _0248_, _0347_, _0469_, _0579_ }; + assign _1343_ = rst ? 16'h0000 : oclk_cnt_d; + assign _1344_ = rst ? 3'h0 : odata_cnt_d; + assign _1345_ = rst ? 8'h00 : idata_d; + assign _1346_ = rst ? 1'h1 : ipty_d; + assign _1347_ = rst ? 4'hf : { _0889_, _1010_, _1130_, _1211_ }; + assign _1348_ = rst ? 1'h0 : icapture_d; + assign _1349_ = rst ? 3'h0 : idata_cnt_d; + always @(posedge clk) + config_q <= _1334_; + always @(posedge clk) + wbseq_q <= _1335_; + always @(posedge clk) + wb_in_q <= _1336_; + always @(posedge clk) + wb_out_q <= _1337_; + always @(posedge clk) + oclk_q <= _1338_; + always @(posedge clk) + oclk_last_q <= _1339_; + always @(posedge clk) + odata_q <= _1340_; + always @(posedge clk) + opty_q <= _1341_; + always @(posedge clk) + oseq_q <= _1342_; + always @(posedge clk) + oclk_cnt_q <= _1343_; + always @(posedge clk) + odata_cnt_q <= _1344_; + always @(posedge clk) + idata_q <= _1345_; + always @(posedge clk) + ipty_q <= _1346_; + always @(posedge clk) + iseq_q <= _1347_; + always @(posedge clk) + icapture_q <= _1348_; + always @(posedge clk) + idata_cnt_q <= _1349_; + assign _1350_ = wb_cyc & wb_stb; + assign _1351_ = ~ wb_out_q[65]; + assign wb_req = _1350_ & _1351_; + assign _1352_ = wb_req ? 1'h1 : _1354_; + assign _1353_ = ~ wb_out_q[64]; + assign _1354_ = wb_in_q[104] & _1353_; + assign _1355_ = wb_req ? 1'h1 : _1357_; + assign _1356_ = ~ wb_out_q[64]; + assign _1357_ = wb_in_q[105] & _1356_; + assign _1358_ = wb_req ? wb_we : wb_in_q[106]; + assign _1359_ = wb_req ? wb_addr : wb_in_q[31:0]; + assign _1360_ = wb_req ? wb_wr_data : wb_in_q[95:32]; + assign _1361_ = wb_req ? wb_sel : wb_in_q[103:96]; + assign _1362_ = wb_addr[31:16] == config_q[31:16]; + assign _1363_ = _1362_ ? 1'h1 : 1'h0; + assign wb_local = config_q[14] & _1363_; + assign _1364_ = wb_addr[15:0] == 16'h0000; + assign _1365_ = _1364_ ? 1'h1 : 1'h0; + assign config_write = wb_local_wr & _1365_; + assign _1366_ = config_write ? _1360_[63] : config_q[0]; + assign _1367_ = config_write ? _1360_[62:59] : config_q[4:1]; + assign _1368_ = config_write ? _1360_[58:56] : config_q[7:5]; + assign _1369_ = config_write ? _1360_[55] : config_q[8]; + assign _1370_ = config_write ? _1360_[54] : config_q[9]; + assign _1371_ = config_write ? _1360_[50] : config_q[10]; + assign _1372_ = config_write ? _1360_[53:51] : config_q[13:11]; + assign _1373_ = config_write ? _1360_[49] : _1374_; + assign _1374_ = config_q[15] | int_req_complete; + assign _1375_ = config_write ? _1360_[48] : config_q[14]; + assign _1376_ = config_write ? _1360_[47:32] : config_q[31:16]; + assign _1377_ = config_write ? _1360_[31:24] : config_q[39:32]; + assign _1378_ = config_write ? _1360_[23:16] : config_q[47:40]; + assign _1379_ = config_write ? _1360_[15:8] : _1383_; + assign _1380_ = save_header ? idata_q : 8'h00; + assign _1381_ = ~ save_header; + assign _1382_ = _1381_ ? config_q[55:48] : 8'h00; + assign _1383_ = _1380_ | _1382_; + assign _1384_ = config_write ? _1360_[7:0] : { _1385_, _1386_, _1387_, _1388_, _1389_, _1390_, _1391_, config_q[56] }; + assign _1385_ = config_q[63] | wbseq_err; + assign _1386_ = config_q[62] | oseq_err; + assign _1387_ = config_q[61] | iseq_err; + assign _1388_ = config_q[60] | rd_err; + assign _1389_ = config_q[59] | wr_err; + assign _1390_ = config_q[58] | pty_err; + assign _1391_ = config_q[57] | bad_header; + assign _1392_ = config_q[4:1] == 4'h0; + assign _1393_ = config_q[4:1] == 4'h1; + assign _1394_ = config_q[4:1] == 4'h2; + assign _1395_ = config_q[4:1] == 4'h3; + assign _1396_ = config_q[4:1] == 4'h4; + assign _1397_ = config_q[4:1] == 4'h5; + assign _1398_ = config_q[4:1] == 4'h6; + assign _1399_ = config_q[4:1] == 4'h7; + assign _1400_ = config_q[4:1] == 4'h8; + assign _1401_ = config_q[4:1] == 4'h9; + function [15:0] \993 ; + input [15:0] a; + input [159:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \993 = b[15:0]; + 10'b????????1?: + \993 = b[31:16]; + 10'b???????1??: + \993 = b[47:32]; + 10'b??????1???: + \993 = b[63:48]; + 10'b?????1????: + \993 = b[79:64]; + 10'b????1?????: + \993 = b[95:80]; + 10'b???1??????: + \993 = b[111:96]; + 10'b??1???????: + \993 = b[127:112]; + 10'b?1????????: + \993 = b[143:128]; + 10'b1?????????: + \993 = b[159:144]; + default: + \993 = a; + endcase + endfunction + assign oclk_toggle = \993 (16'h0200, 160'h0100008000400020001000080004000200010000, { _1401_, _1400_, _1399_, _1398_, _1397_, _1396_, _1395_, _1394_, _1393_, _1392_ }); + assign wb_ack = wb_out_q[64]; + assign wb_err = 1'h0; + assign wb_stall = wb_out_q[65]; + assign wb_rd_data = wb_out_q[63:0]; + assign oib_clk = oclk_q; + assign ob_data = odata_q; + assign ob_pty = opty_q; + assign err = _1333_; + assign \int = config_q[15]; +endmodule + +module mmu(clk, rst, l_in, d_in, l_out, d_out, i_out); + wire [63:0] _000_; + wire _001_; + wire [67:0] _002_; + wire [63:0] _003_; + wire [31:0] _004_; + wire [3:0] _005_; + wire [65:0] _006_; + wire _007_; + wire [63:0] _008_; + wire _009_; + wire [135:0] _010_; + wire _011_; + wire _012_; + wire [30:0] _013_; + wire _014_; + wire _015_; + wire _016_; + wire [18:0] _017_; + wire _018_; + wire _019_; + wire _020_; + wire _021_; + wire _022_; + wire _023_; + wire _024_; + wire _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire _103_; + wire _104_; + wire _105_; + wire _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire _127_; + wire _128_; + wire _129_; + wire _130_; + wire _131_; + wire _132_; + wire [63:0] _133_; + wire _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire _141_; + wire _142_; + wire _143_; + wire _144_; + wire [3:0] _145_; + wire _146_; + wire [3:0] _147_; + wire [5:0] _148_; + wire _149_; + wire _150_; + wire _151_; + wire [3:0] _152_; + wire _153_; + wire _154_; + wire [5:0] _155_; + wire _156_; + wire [3:0] _157_; + wire _158_; + wire _159_; + wire _160_; + wire [63:0] _161_; + wire [31:0] _162_; + wire _163_; + wire _164_; + wire _165_; + wire [100:0] _166_; + wire _167_; + wire _168_; + wire _169_; + wire [67:0] _170_; + wire [5:0] _171_; + wire _172_; + wire _173_; + wire [3:0] _174_; + wire _175_; + wire _176_; + wire [64:0] _177_; + wire [64:0] _178_; + wire _179_; + wire [3:0] _180_; + wire _181_; + wire [3:0] _182_; + wire [196:0] _183_; + wire _184_; + wire [3:0] _185_; + wire _186_; + wire _187_; + wire [5:0] _188_; + wire [5:0] _189_; + wire [30:0] _190_; + wire [30:0] _191_; + wire _192_; + wire _193_; + wire _194_; + wire _195_; + wire _196_; + wire _197_; + wire [5:0] _198_; + wire _199_; + wire _200_; + wire [3:0] _201_; + wire _202_; + wire [3:0] _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire _215_; + wire _216_; + wire _217_; + wire _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire [3:0] _223_; + wire [1:0] _224_; + wire _225_; + wire _226_; + wire _227_; + wire _228_; + wire _229_; + wire [5:0] _230_; + wire [3:0] _231_; + wire [66:0] _232_; + wire _233_; + wire [3:0] _234_; + wire [66:0] _235_; + wire _236_; + wire [1:0] _237_; + wire [3:0] _238_; + wire [66:0] _239_; + wire _240_; + wire _241_; + wire [1:0] _242_; + wire [3:0] _243_; + wire [1:0] _244_; + wire [3:0] _245_; + wire _246_; + wire _247_; + wire [131:0] _248_; + wire _249_; + wire _250_; + wire [3:0] _251_; + wire _252_; + wire _253_; + wire _254_; + wire _255_; + wire [67:0] _256_; + wire [96:0] _257_; + wire [3:0] _258_; + wire [63:0] _259_; + wire _260_; + wire [63:0] _261_; + wire _262_; + wire [5:0] _263_; + wire [4:0] _264_; + wire [55:0] _265_; + wire [63:0] _266_; + wire _267_; + wire _268_; + wire _269_; + wire [1:0] _270_; + wire _271_; + wire _272_; + wire _273_; + wire _274_; + wire _275_; + wire _276_; + wire _277_; + wire _278_; + wire _279_; + wire _280_; + wire _281_; + wire _282_; + wire _283_; + wire _284_; + wire [1:0] _285_; + wire [31:0] _286_; + wire [23:0] _287_; + wire [23:0] _288_; + wire [23:0] _289_; + wire [23:0] _290_; + wire [15:0] _291_; + wire [15:0] _292_; + wire [15:0] _293_; + wire [15:0] _294_; + wire [43:0] _295_; + wire [43:0] _296_; + wire [43:0] _297_; + wire [43:0] _298_; + wire [63:0] _299_; + wire [63:0] _300_; + wire [63:0] _301_; + wire [63:0] _302_; + wire [63:0] _303_; + wire [15:0] addrsh; + input clk; + input [66:0] d_in; + output [131:0] d_out; + output [130:0] i_out; + input [144:0] l_in; + output [70:0] l_out; + reg [436:0] r; + input rst; + assign _000_ = l_in[16] ? r[132:69] : { 32'h00000000, r[164:133] }; + assign _001_ = rst ? 1'h0 : _256_[0]; + assign _002_ = rst ? r[68:1] : { _257_[0], _256_[67:1] }; + assign _003_ = rst ? 64'h0000000000000000 : _257_[64:1]; + assign _004_ = rst ? r[164:133] : _257_[96:65]; + assign _005_ = rst ? 4'h0 : _258_; + assign _006_ = rst ? r[234:169] : { _259_, _285_ }; + assign _007_ = rst ? 1'h0 : _260_; + assign _008_ = rst ? r[299:236] : _261_; + assign _009_ = rst ? 1'h0 : _262_; + assign _010_ = rst ? r[436:301] : { _270_, _269_, _268_, _267_, _266_, _265_, _264_, _263_ }; + always @(posedge clk) + r <= { _010_, _009_, _008_, _007_, _006_, _005_, _004_, _003_, _002_, _001_ }; + assign _011_ = r[306:305] == 2'h0; + assign _012_ = r[306:305] == 2'h1; + function [30:0] \16216 ; + input [30:0] a; + input [61:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \16216 = b[30:0]; + 2'b1?: + \16216 = b[61:31]; + default: + \16216 = a; + endcase + endfunction + assign _013_ = \16216 ({ 13'h0000, r[65:48] }, { r[62:32], r[46:16] }, { _012_, _011_ }); + assign _014_ = r[304:303] == 2'h0; + assign _015_ = r[304:303] == 2'h1; + assign _016_ = r[304:303] == 2'h2; + function [18:0] \16229 ; + input [18:0] a; + input [56:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \16229 = b[18:0]; + 3'b?1?: + \16229 = b[37:19]; + 3'b1??: + \16229 = b[56:38]; + default: + \16229 = a; + endcase + endfunction + assign _017_ = \16229 (_013_[30:12], { _013_[26:8], _013_[22:4], _013_[18:0] }, { _016_, _015_, _014_ }); + assign _018_ = r[302:301] == 2'h0; + assign _019_ = r[302:301] == 2'h1; + assign _020_ = r[302:301] == 2'h2; + function [15:0] \16242 ; + input [15:0] a; + input [47:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \16242 = b[15:0]; + 3'b?1?: + \16242 = b[31:16]; + 3'b1??: + \16242 = b[47:32]; + default: + \16242 = a; + endcase + endfunction + assign addrsh = \16242 (_017_[18:3], { _017_[17:2], _017_[16:1], _017_[15:0] }, { _020_, _019_, _018_ }); + assign _021_ = $signed(32'd5) < $signed({ 27'h0000000, r[311:307] }); + assign _022_ = _021_ ? 1'h1 : 1'h0; + assign _023_ = $signed(32'd6) < $signed({ 27'h0000000, r[311:307] }); + assign _024_ = _023_ ? 1'h1 : 1'h0; + assign _025_ = $signed(32'd7) < $signed({ 27'h0000000, r[311:307] }); + assign _026_ = _025_ ? 1'h1 : 1'h0; + assign _027_ = $signed(32'd8) < $signed({ 27'h0000000, r[311:307] }); + assign _028_ = _027_ ? 1'h1 : 1'h0; + assign _029_ = $signed(32'd9) < $signed({ 27'h0000000, r[311:307] }); + assign _030_ = _029_ ? 1'h1 : 1'h0; + assign _031_ = $signed(32'd10) < $signed({ 27'h0000000, r[311:307] }); + assign _032_ = _031_ ? 1'h1 : 1'h0; + assign _033_ = $signed(32'd11) < $signed({ 27'h0000000, r[311:307] }); + assign _034_ = _033_ ? 1'h1 : 1'h0; + assign _035_ = $signed(32'd12) < $signed({ 27'h0000000, r[311:307] }); + assign _036_ = _035_ ? 1'h1 : 1'h0; + assign _037_ = $signed(32'd13) < $signed({ 27'h0000000, r[311:307] }); + assign _038_ = _037_ ? 1'h1 : 1'h0; + assign _039_ = $signed(32'd14) < $signed({ 27'h0000000, r[311:307] }); + assign _040_ = _039_ ? 1'h1 : 1'h0; + assign _041_ = $signed(32'd15) < $signed({ 27'h0000000, r[311:307] }); + assign _042_ = _041_ ? 1'h1 : 1'h0; + assign _043_ = $signed(32'd0) < $signed({ 26'h0000000, r[306:301] }); + assign _044_ = _043_ ? 1'h1 : 1'h0; + assign _045_ = $signed(32'd1) < $signed({ 26'h0000000, r[306:301] }); + assign _046_ = _045_ ? 1'h1 : 1'h0; + assign _047_ = $signed(32'd2) < $signed({ 26'h0000000, r[306:301] }); + assign _048_ = _047_ ? 1'h1 : 1'h0; + assign _049_ = $signed(32'd3) < $signed({ 26'h0000000, r[306:301] }); + assign _050_ = _049_ ? 1'h1 : 1'h0; + assign _051_ = $signed(32'd4) < $signed({ 26'h0000000, r[306:301] }); + assign _052_ = _051_ ? 1'h1 : 1'h0; + assign _053_ = $signed(32'd5) < $signed({ 26'h0000000, r[306:301] }); + assign _054_ = _053_ ? 1'h1 : 1'h0; + assign _055_ = $signed(32'd6) < $signed({ 26'h0000000, r[306:301] }); + assign _056_ = _055_ ? 1'h1 : 1'h0; + assign _057_ = $signed(32'd7) < $signed({ 26'h0000000, r[306:301] }); + assign _058_ = _057_ ? 1'h1 : 1'h0; + assign _059_ = $signed(32'd8) < $signed({ 26'h0000000, r[306:301] }); + assign _060_ = _059_ ? 1'h1 : 1'h0; + assign _061_ = $signed(32'd9) < $signed({ 26'h0000000, r[306:301] }); + assign _062_ = _061_ ? 1'h1 : 1'h0; + assign _063_ = $signed(32'd10) < $signed({ 26'h0000000, r[306:301] }); + assign _064_ = _063_ ? 1'h1 : 1'h0; + assign _065_ = $signed(32'd11) < $signed({ 26'h0000000, r[306:301] }); + assign _066_ = _065_ ? 1'h1 : 1'h0; + assign _067_ = $signed(32'd12) < $signed({ 26'h0000000, r[306:301] }); + assign _068_ = _067_ ? 1'h1 : 1'h0; + assign _069_ = $signed(32'd13) < $signed({ 26'h0000000, r[306:301] }); + assign _070_ = _069_ ? 1'h1 : 1'h0; + assign _071_ = $signed(32'd14) < $signed({ 26'h0000000, r[306:301] }); + assign _072_ = _071_ ? 1'h1 : 1'h0; + assign _073_ = $signed(32'd15) < $signed({ 26'h0000000, r[306:301] }); + assign _074_ = _073_ ? 1'h1 : 1'h0; + assign _075_ = $signed(32'd16) < $signed({ 26'h0000000, r[306:301] }); + assign _076_ = _075_ ? 1'h1 : 1'h0; + assign _077_ = $signed(32'd17) < $signed({ 26'h0000000, r[306:301] }); + assign _078_ = _077_ ? 1'h1 : 1'h0; + assign _079_ = $signed(32'd18) < $signed({ 26'h0000000, r[306:301] }); + assign _080_ = _079_ ? 1'h1 : 1'h0; + assign _081_ = $signed(32'd19) < $signed({ 26'h0000000, r[306:301] }); + assign _082_ = _081_ ? 1'h1 : 1'h0; + assign _083_ = $signed(32'd20) < $signed({ 26'h0000000, r[306:301] }); + assign _084_ = _083_ ? 1'h1 : 1'h0; + assign _085_ = $signed(32'd21) < $signed({ 26'h0000000, r[306:301] }); + assign _086_ = _085_ ? 1'h1 : 1'h0; + assign _087_ = $signed(32'd22) < $signed({ 26'h0000000, r[306:301] }); + assign _088_ = _087_ ? 1'h1 : 1'h0; + assign _089_ = $signed(32'd23) < $signed({ 26'h0000000, r[306:301] }); + assign _090_ = _089_ ? 1'h1 : 1'h0; + assign _091_ = $signed(32'd24) < $signed({ 26'h0000000, r[306:301] }); + assign _092_ = _091_ ? 1'h1 : 1'h0; + assign _093_ = $signed(32'd25) < $signed({ 26'h0000000, r[306:301] }); + assign _094_ = _093_ ? 1'h1 : 1'h0; + assign _095_ = $signed(32'd26) < $signed({ 26'h0000000, r[306:301] }); + assign _096_ = _095_ ? 1'h1 : 1'h0; + assign _097_ = $signed(32'd27) < $signed({ 26'h0000000, r[306:301] }); + assign _098_ = _097_ ? 1'h1 : 1'h0; + assign _099_ = $signed(32'd28) < $signed({ 26'h0000000, r[306:301] }); + assign _100_ = _099_ ? 1'h1 : 1'h0; + assign _101_ = $signed(32'd29) < $signed({ 26'h0000000, r[306:301] }); + assign _102_ = _101_ ? 1'h1 : 1'h0; + assign _103_ = $signed(32'd30) < $signed({ 26'h0000000, r[306:301] }); + assign _104_ = _103_ ? 1'h1 : 1'h0; + assign _105_ = $signed(32'd31) < $signed({ 26'h0000000, r[306:301] }); + assign _106_ = _105_ ? 1'h1 : 1'h0; + assign _107_ = $signed(32'd32) < $signed({ 26'h0000000, r[306:301] }); + assign _108_ = _107_ ? 1'h1 : 1'h0; + assign _109_ = $signed(32'd33) < $signed({ 26'h0000000, r[306:301] }); + assign _110_ = _109_ ? 1'h1 : 1'h0; + assign _111_ = $signed(32'd34) < $signed({ 26'h0000000, r[306:301] }); + assign _112_ = _111_ ? 1'h1 : 1'h0; + assign _113_ = $signed(32'd35) < $signed({ 26'h0000000, r[306:301] }); + assign _114_ = _113_ ? 1'h1 : 1'h0; + assign _115_ = $signed(32'd36) < $signed({ 26'h0000000, r[306:301] }); + assign _116_ = _115_ ? 1'h1 : 1'h0; + assign _117_ = $signed(32'd37) < $signed({ 26'h0000000, r[306:301] }); + assign _118_ = _117_ ? 1'h1 : 1'h0; + assign _119_ = $signed(32'd38) < $signed({ 26'h0000000, r[306:301] }); + assign _120_ = _119_ ? 1'h1 : 1'h0; + assign _121_ = $signed(32'd39) < $signed({ 26'h0000000, r[306:301] }); + assign _122_ = _121_ ? 1'h1 : 1'h0; + assign _123_ = $signed(32'd40) < $signed({ 26'h0000000, r[306:301] }); + assign _124_ = _123_ ? 1'h1 : 1'h0; + assign _125_ = $signed(32'd41) < $signed({ 26'h0000000, r[306:301] }); + assign _126_ = _125_ ? 1'h1 : 1'h0; + assign _127_ = $signed(32'd42) < $signed({ 26'h0000000, r[306:301] }); + assign _128_ = _127_ ? 1'h1 : 1'h0; + assign _129_ = $signed(32'd43) < $signed({ 26'h0000000, r[306:301] }); + assign _130_ = _129_ ? 1'h1 : 1'h0; + assign _131_ = ~ l_in[80]; + assign _132_ = _131_ ? r[235] : r[300]; + assign _133_ = _131_ ? r[234:171] : r[299:236]; + assign _134_ = l_in[5] | l_in[4]; + assign _135_ = ~ _134_; + assign _136_ = l_in[2] | l_in[28]; + assign _137_ = _136_ | l_in[27]; + assign _138_ = _137_ | l_in[24]; + assign _139_ = _138_ | l_in[23]; + assign _140_ = _139_ | l_in[22]; + assign _141_ = _158_ ? 1'h0 : r[235]; + assign _142_ = _154_ ? 1'h0 : r[300]; + assign _143_ = ~ _132_; + assign _144_ = { 1'h0, _133_[4:0] } == 6'h00; + assign _145_ = _144_ ? 4'h9 : 4'h5; + assign _146_ = _144_ ? 1'h1 : 1'h0; + assign _147_ = _143_ ? 4'h3 : _145_; + assign _148_ = _143_ ? { 1'h0, r[73:69] } : { 1'h0, _133_[62:61], _133_[7:5] }; + assign _149_ = _143_ ? 1'h0 : _146_; + assign _150_ = l_in[1] ? 1'h0 : 1'h1; + assign _151_ = l_in[1] ? _140_ : 1'h0; + assign _152_ = l_in[1] ? 4'h1 : _147_; + assign _153_ = l_in[1] & l_in[10]; + assign _154_ = l_in[1] & l_in[10]; + assign _155_ = l_in[1] ? { 1'h0, _133_[62:61], _133_[7:5] } : _148_; + assign _156_ = l_in[1] ? 1'h0 : _149_; + assign _157_ = l_in[0] ? _152_ : r[168:165]; + assign _158_ = l_in[0] & _153_; + assign _159_ = l_in[0] ? _156_ : 1'h0; + assign _160_ = ~ l_in[16]; + assign _161_ = _160_ ? r[132:69] : l_in[144:81]; + assign _162_ = _160_ ? l_in[112:81] : r[164:133]; + assign _163_ = l_in[0] ? _142_ : r[300]; + assign _164_ = _160_ ? _163_ : 1'h0; + assign _165_ = l_in[0] ? _151_ : 1'h0; + assign _166_ = l_in[3] ? { 4'h1, _162_, _161_, 1'h1 } : { _157_, r[164:69], _165_ }; + assign _167_ = l_in[3] ? 1'h0 : _141_; + assign _168_ = l_in[0] ? _142_ : r[300]; + assign _169_ = l_in[3] ? _164_ : _168_; + assign _170_ = l_in[0] ? { l_in[80:17], l_in[6], _135_, l_in[4], _150_ } : { r[67:1], 1'h0 }; + assign _171_ = l_in[0] ? _155_ : { 1'h0, _133_[62:61], _133_[7:5] }; + assign _172_ = r[168:165] == 4'h0; + assign _173_ = r[168:165] == 4'h1; + assign _174_ = d_in[1] ? 4'h9 : r[168:165]; + assign _175_ = r[168:165] == 4'h2; + assign _176_ = r[168:165] == 4'h3; + assign _177_ = r[67] ? r[235:171] : { 1'h1, d_in[10:3], d_in[18:11], d_in[26:19], d_in[34:27], d_in[42:35], d_in[50:43], d_in[58:51], d_in[66:59] }; + assign _178_ = r[67] ? { 1'h1, d_in[10:3], d_in[18:11], d_in[26:19], d_in[34:27], d_in[42:35], d_in[50:43], d_in[58:51], d_in[66:59] } : r[300:236]; + assign _179_ = { 1'h0, d_in[63:59] } == 6'h00; + assign _180_ = _179_ ? 4'h9 : 4'h5; + assign _181_ = _184_ ? 1'h1 : 1'h0; + assign _182_ = d_in[1] ? _180_ : r[168:165]; + assign _183_ = d_in[1] ? { d_in[18:11], d_in[26:19], d_in[34:27], d_in[42:35], d_in[50:43], d_in[58:51], 8'h00, d_in[63:59], 1'h0, d_in[9:8], d_in[66:64], _178_, _177_ } : r[367:171]; + assign _184_ = d_in[1] & _179_; + assign _185_ = d_in[2] ? 4'h9 : _182_; + assign _186_ = d_in[2] ? 1'h1 : 1'h0; + assign _187_ = r[168:165] == 4'h4; + assign _188_ = r[306:301] + 6'h13; + assign _189_ = _188_ - { 1'h0, r[311:307] }; + assign _190_ = ~ { _104_, _102_, _100_, _098_, _096_, _094_, _092_, _090_, _088_, _086_, _084_, _082_, _080_, _078_, _076_, _074_, _072_, _070_, _068_, _066_, _064_, _062_, _060_, _058_, _056_, _054_, _052_, _050_, _048_, _046_, _044_ }; + assign _191_ = r[65:35] & _190_; + assign _192_ = | _191_; + assign _193_ = r[67] != r[66]; + assign _194_ = _193_ | _192_; + assign _195_ = { 1'h0, r[311:307] } < 6'h05; + assign _196_ = { 1'h0, r[311:307] } > 6'h10; + assign _197_ = _195_ | _196_; + assign _198_ = r[306:301] + 6'h13; + assign _199_ = { 1'h0, r[311:307] } > _198_; + assign _200_ = _197_ | _199_; + assign _201_ = _200_ ? 4'h9 : 4'h6; + assign _202_ = _200_ ? 1'h1 : 1'h0; + assign _203_ = _194_ ? 4'h9 : _201_; + assign _204_ = _194_ ? 1'h0 : _202_; + assign _205_ = _194_ ? 1'h1 : 1'h0; + assign _206_ = r[168:165] == 4'h5; + assign _207_ = r[168:165] == 4'h6; + assign _208_ = ~ d_in[62]; + assign _209_ = r[3] | _208_; + assign _210_ = ~ r[1]; + assign _211_ = ~ r[2]; + assign _212_ = d_in[61] & _211_; + assign _213_ = d_in[60] | _212_; + assign _214_ = ~ d_in[64]; + assign _215_ = d_in[59] & _214_; + assign _216_ = _210_ ? _213_ : _215_; + assign _217_ = _209_ ? _216_ : 1'h0; + assign _218_ = ~ r[2]; + assign _219_ = d_in[66] | _218_; + assign _220_ = d_in[51] & _219_; + assign _221_ = _217_ & _220_; + assign _222_ = ~ _217_; + assign _223_ = _221_ ? 4'h8 : 4'h9; + assign _224_ = _221_ ? 2'h0 : { _217_, _222_ }; + assign _225_ = { 1'h0, d_in[63:59] } < 6'h05; + assign _226_ = { 1'h0, d_in[63:59] } > 6'h10; + assign _227_ = _225_ | _226_; + assign _228_ = { 1'h0, d_in[63:59] } > r[306:301]; + assign _229_ = _227_ | _228_; + assign _230_ = r[306:301] - { 1'h0, d_in[63:59] }; + assign _231_ = _229_ ? 4'h9 : 4'h6; + assign _232_ = _229_ ? r[367:301] : { d_in[18:11], d_in[26:19], d_in[34:27], d_in[42:35], d_in[50:43], d_in[58:51], 8'h00, d_in[63:59], _230_ }; + assign _233_ = _229_ ? 1'h1 : 1'h0; + assign _234_ = d_in[9] ? _223_ : _231_; + assign _235_ = d_in[9] ? r[367:301] : _232_; + assign _236_ = d_in[9] ? 1'h0 : _233_; + assign _237_ = d_in[9] ? _224_ : 2'h0; + assign _238_ = d_in[10] ? _234_ : 4'h9; + assign _239_ = d_in[10] ? _235_ : r[367:301]; + assign _240_ = d_in[10] ? 1'h0 : 1'h1; + assign _241_ = d_in[10] ? _236_ : 1'h0; + assign _242_ = d_in[10] ? _237_ : 2'h0; + assign _243_ = d_in[1] ? _238_ : r[168:165]; + assign _244_ = d_in[1] ? _242_ : 2'h0; + assign _245_ = d_in[2] ? 4'h9 : _243_; + assign _246_ = d_in[1] ? _241_ : 1'h0; + assign _247_ = d_in[2] ? 1'h1 : _246_; + assign _248_ = d_in[1] ? { _240_, d_in[10:3], d_in[18:11], d_in[26:19], d_in[34:27], d_in[42:35], d_in[50:43], d_in[58:51], d_in[66:59], _239_ } : { 1'h0, r[431:301] }; + assign _249_ = r[168:165] == 4'h7; + assign _250_ = ~ r[1]; + assign _251_ = _250_ ? 4'h2 : 4'h0; + assign _252_ = _250_ ? 1'h1 : 1'h0; + assign _253_ = _250_ ? 1'h0 : 1'h1; + assign _254_ = r[168:165] == 4'h8; + assign _255_ = r[168:165] == 4'h9; + function [67:0] \17163 ; + input [67:0] a; + input [679:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17163 = b[67:0]; + 10'b????????1?: + \17163 = b[135:68]; + 10'b???????1??: + \17163 = b[203:136]; + 10'b??????1???: + \17163 = b[271:204]; + 10'b?????1????: + \17163 = b[339:272]; + 10'b????1?????: + \17163 = b[407:340]; + 10'b???1??????: + \17163 = b[475:408]; + 10'b??1???????: + \17163 = b[543:476]; + 10'b?1????????: + \17163 = b[611:544]; + 10'b1?????????: + \17163 = b[679:612]; + default: + \17163 = a; + endcase + endfunction + assign _256_ = \17163 (68'hxxxxxxxxxxxxxxxxx, { r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, r[67:1], 1'h0, _170_ }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [96:0] \17168 ; + input [96:0] a; + input [969:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17168 = b[96:0]; + 10'b????????1?: + \17168 = b[193:97]; + 10'b???????1??: + \17168 = b[290:194]; + 10'b??????1???: + \17168 = b[387:291]; + 10'b?????1????: + \17168 = b[484:388]; + 10'b????1?????: + \17168 = b[581:485]; + 10'b???1??????: + \17168 = b[678:582]; + 10'b??1???????: + \17168 = b[775:679]; + 10'b?1????????: + \17168 = b[872:776]; + 10'b1?????????: + \17168 = b[969:873]; + default: + \17168 = a; + endcase + endfunction + assign _257_ = \17168 (97'hxxxxxxxxxxxxxxxxxxxxxxxxx, { r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, r[164:69], 1'h0, _166_[96:0] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [3:0] \17171 ; + input [3:0] a; + input [39:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17171 = b[3:0]; + 10'b????????1?: + \17171 = b[7:4]; + 10'b???????1??: + \17171 = b[11:8]; + 10'b??????1???: + \17171 = b[15:12]; + 10'b?????1????: + \17171 = b[19:16]; + 10'b????1?????: + \17171 = b[23:20]; + 10'b???1??????: + \17171 = b[27:24]; + 10'b??1???????: + \17171 = b[31:28]; + 10'b?1????????: + \17171 = b[35:32]; + 10'b1?????????: + \17171 = b[39:36]; + default: + \17171 = a; + endcase + endfunction + assign _258_ = \17171 (4'hx, { 4'h0, _251_, _245_, 4'h7, _203_, _185_, 4'h4, _174_, 4'h2, _166_[100:97] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [63:0] \17175 ; + input [63:0] a; + input [639:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17175 = b[63:0]; + 10'b????????1?: + \17175 = b[127:64]; + 10'b???????1??: + \17175 = b[191:128]; + 10'b??????1???: + \17175 = b[255:192]; + 10'b?????1????: + \17175 = b[319:256]; + 10'b????1?????: + \17175 = b[383:320]; + 10'b???1??????: + \17175 = b[447:384]; + 10'b??1???????: + \17175 = b[511:448]; + 10'b?1????????: + \17175 = b[575:512]; + 10'b1?????????: + \17175 = b[639:576]; + default: + \17175 = a; + endcase + endfunction + assign _259_ = \17175 (64'hxxxxxxxxxxxxxxxx, { r[234:171], r[234:171], r[234:171], r[234:171], r[234:171], _183_[63:0], r[234:171], r[234:171], r[234:171], r[234:171] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17179 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17179 = b[0:0]; + 10'b????????1?: + \17179 = b[1:1]; + 10'b???????1??: + \17179 = b[2:2]; + 10'b??????1???: + \17179 = b[3:3]; + 10'b?????1????: + \17179 = b[4:4]; + 10'b????1?????: + \17179 = b[5:5]; + 10'b???1??????: + \17179 = b[6:6]; + 10'b??1???????: + \17179 = b[7:7]; + 10'b?1????????: + \17179 = b[8:8]; + 10'b1?????????: + \17179 = b[9:9]; + default: + \17179 = a; + endcase + endfunction + assign _260_ = \17179 (1'hx, { r[235], r[235], r[235], r[235], r[235], _183_[64], r[235], r[235], r[235], _167_ }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [63:0] \17183 ; + input [63:0] a; + input [639:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17183 = b[63:0]; + 10'b????????1?: + \17183 = b[127:64]; + 10'b???????1??: + \17183 = b[191:128]; + 10'b??????1???: + \17183 = b[255:192]; + 10'b?????1????: + \17183 = b[319:256]; + 10'b????1?????: + \17183 = b[383:320]; + 10'b???1??????: + \17183 = b[447:384]; + 10'b??1???????: + \17183 = b[511:448]; + 10'b?1????????: + \17183 = b[575:512]; + 10'b1?????????: + \17183 = b[639:576]; + default: + \17183 = a; + endcase + endfunction + assign _261_ = \17183 (64'hxxxxxxxxxxxxxxxx, { r[299:236], r[299:236], r[299:236], r[299:236], r[299:236], _183_[128:65], r[299:236], r[299:236], r[299:236], r[299:236] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17187 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17187 = b[0:0]; + 10'b????????1?: + \17187 = b[1:1]; + 10'b???????1??: + \17187 = b[2:2]; + 10'b??????1???: + \17187 = b[3:3]; + 10'b?????1????: + \17187 = b[4:4]; + 10'b????1?????: + \17187 = b[5:5]; + 10'b???1??????: + \17187 = b[6:6]; + 10'b??1???????: + \17187 = b[7:7]; + 10'b?1????????: + \17187 = b[8:8]; + 10'b1?????????: + \17187 = b[9:9]; + default: + \17187 = a; + endcase + endfunction + assign _262_ = \17187 (1'hx, { r[300], r[300], r[300], r[300], r[300], _183_[129], r[300], r[300], r[300], _169_ }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [5:0] \17192 ; + input [5:0] a; + input [59:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17192 = b[5:0]; + 10'b????????1?: + \17192 = b[11:6]; + 10'b???????1??: + \17192 = b[17:12]; + 10'b??????1???: + \17192 = b[23:18]; + 10'b?????1????: + \17192 = b[29:24]; + 10'b????1?????: + \17192 = b[35:30]; + 10'b???1??????: + \17192 = b[41:36]; + 10'b??1???????: + \17192 = b[47:42]; + 10'b?1????????: + \17192 = b[53:48]; + 10'b1?????????: + \17192 = b[59:54]; + default: + \17192 = a; + endcase + endfunction + assign _263_ = \17192 (6'hxx, { r[306:301], r[306:301], _248_[5:0], r[306:301], _189_, _183_[135:130], r[306:301], r[306:301], r[306:301], _171_ }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [4:0] \17197 ; + input [4:0] a; + input [49:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17197 = b[4:0]; + 10'b????????1?: + \17197 = b[9:5]; + 10'b???????1??: + \17197 = b[14:10]; + 10'b??????1???: + \17197 = b[19:15]; + 10'b?????1????: + \17197 = b[24:20]; + 10'b????1?????: + \17197 = b[29:25]; + 10'b???1??????: + \17197 = b[34:30]; + 10'b??1???????: + \17197 = b[39:35]; + 10'b?1????????: + \17197 = b[44:40]; + 10'b1?????????: + \17197 = b[49:45]; + default: + \17197 = a; + endcase + endfunction + assign _264_ = \17197 (5'hxx, { r[311:307], r[311:307], _248_[10:6], r[311:307], r[311:307], _183_[140:136], r[311:307], r[311:307], r[311:307], _133_[4:0] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [55:0] \17202 ; + input [55:0] a; + input [559:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17202 = b[55:0]; + 10'b????????1?: + \17202 = b[111:56]; + 10'b???????1??: + \17202 = b[167:112]; + 10'b??????1???: + \17202 = b[223:168]; + 10'b?????1????: + \17202 = b[279:224]; + 10'b????1?????: + \17202 = b[335:280]; + 10'b???1??????: + \17202 = b[391:336]; + 10'b??1???????: + \17202 = b[447:392]; + 10'b?1????????: + \17202 = b[503:448]; + 10'b1?????????: + \17202 = b[559:504]; + default: + \17202 = a; + endcase + endfunction + assign _265_ = \17202 (56'hxxxxxxxxxxxxxx, { r[367:312], r[367:312], _248_[66:11], r[367:312], r[367:312], _183_[196:141], r[367:312], r[367:312], r[367:312], _133_[55:8], 8'h00 }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [63:0] \17206 ; + input [63:0] a; + input [639:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17206 = b[63:0]; + 10'b????????1?: + \17206 = b[127:64]; + 10'b???????1??: + \17206 = b[191:128]; + 10'b??????1???: + \17206 = b[255:192]; + 10'b?????1????: + \17206 = b[319:256]; + 10'b????1?????: + \17206 = b[383:320]; + 10'b???1??????: + \17206 = b[447:384]; + 10'b??1???????: + \17206 = b[511:448]; + 10'b?1????????: + \17206 = b[575:512]; + 10'b1?????????: + \17206 = b[639:576]; + default: + \17206 = a; + endcase + endfunction + assign _266_ = \17206 (64'hxxxxxxxxxxxxxxxx, { r[431:368], r[431:368], _248_[130:67], r[431:368], r[431:368], r[431:368], r[431:368], r[431:368], r[431:368], r[431:368] }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17209 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17209 = b[0:0]; + 10'b????????1?: + \17209 = b[1:1]; + 10'b???????1??: + \17209 = b[2:2]; + 10'b??????1???: + \17209 = b[3:3]; + 10'b?????1????: + \17209 = b[4:4]; + 10'b????1?????: + \17209 = b[5:5]; + 10'b???1??????: + \17209 = b[6:6]; + 10'b??1???????: + \17209 = b[7:7]; + 10'b?1????????: + \17209 = b[8:8]; + 10'b1?????????: + \17209 = b[9:9]; + default: + \17209 = a; + endcase + endfunction + assign _267_ = \17209 (1'hx, { 2'h0, _248_[131], 2'h0, _181_, 3'h0, _159_ }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17211 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17211 = b[0:0]; + 10'b????????1?: + \17211 = b[1:1]; + 10'b???????1??: + \17211 = b[2:2]; + 10'b??????1???: + \17211 = b[3:3]; + 10'b?????1????: + \17211 = b[4:4]; + 10'b????1?????: + \17211 = b[5:5]; + 10'b???1??????: + \17211 = b[6:6]; + 10'b??1???????: + \17211 = b[7:7]; + 10'b?1????????: + \17211 = b[8:8]; + 10'b1?????????: + \17211 = b[9:9]; + default: + \17211 = a; + endcase + endfunction + assign _268_ = \17211 (1'hx, { 2'h0, _247_, 1'h0, _204_, _186_, 4'h0 }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17213 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17213 = b[0:0]; + 10'b????????1?: + \17213 = b[1:1]; + 10'b???????1??: + \17213 = b[2:2]; + 10'b??????1???: + \17213 = b[3:3]; + 10'b?????1????: + \17213 = b[4:4]; + 10'b????1?????: + \17213 = b[5:5]; + 10'b???1??????: + \17213 = b[6:6]; + 10'b??1???????: + \17213 = b[7:7]; + 10'b?1????????: + \17213 = b[8:8]; + 10'b1?????????: + \17213 = b[9:9]; + default: + \17213 = a; + endcase + endfunction + assign _269_ = \17213 (1'hx, { 4'h0, _205_, 5'h00 }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [1:0] \17216 ; + input [1:0] a; + input [19:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17216 = b[1:0]; + 10'b????????1?: + \17216 = b[3:2]; + 10'b???????1??: + \17216 = b[5:4]; + 10'b??????1???: + \17216 = b[7:6]; + 10'b?????1????: + \17216 = b[9:8]; + 10'b????1?????: + \17216 = b[11:10]; + 10'b???1??????: + \17216 = b[13:12]; + 10'b??1???????: + \17216 = b[15:14]; + 10'b?1????????: + \17216 = b[17:16]; + 10'b1?????????: + \17216 = b[19:18]; + default: + \17216 = a; + endcase + endfunction + assign _270_ = \17216 (2'hx, { 4'h0, _244_, 14'h0000 }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17230 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17230 = b[0:0]; + 10'b????????1?: + \17230 = b[1:1]; + 10'b???????1??: + \17230 = b[2:2]; + 10'b??????1???: + \17230 = b[3:3]; + 10'b?????1????: + \17230 = b[4:4]; + 10'b????1?????: + \17230 = b[5:5]; + 10'b???1??????: + \17230 = b[6:6]; + 10'b??1???????: + \17230 = b[7:7]; + 10'b?1????????: + \17230 = b[8:8]; + 10'b1?????????: + \17230 = b[9:9]; + default: + \17230 = a; + endcase + endfunction + assign _271_ = \17230 (1'hx, { 1'h0, _252_, 8'h4a }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17235 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17235 = b[0:0]; + 10'b????????1?: + \17235 = b[1:1]; + 10'b???????1??: + \17235 = b[2:2]; + 10'b??????1???: + \17235 = b[3:3]; + 10'b?????1????: + \17235 = b[4:4]; + 10'b????1?????: + \17235 = b[5:5]; + 10'b???1??????: + \17235 = b[6:6]; + 10'b??1???????: + \17235 = b[7:7]; + 10'b?1????????: + \17235 = b[8:8]; + 10'b1?????????: + \17235 = b[9:9]; + default: + \17235 = a; + endcase + endfunction + assign _272_ = \17235 (1'hx, 10'h100, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17239 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17239 = b[0:0]; + 10'b????????1?: + \17239 = b[1:1]; + 10'b???????1??: + \17239 = b[2:2]; + 10'b??????1???: + \17239 = b[3:3]; + 10'b?????1????: + \17239 = b[4:4]; + 10'b????1?????: + \17239 = b[5:5]; + 10'b???1??????: + \17239 = b[6:6]; + 10'b??1???????: + \17239 = b[7:7]; + 10'b?1????????: + \17239 = b[8:8]; + 10'b1?????????: + \17239 = b[9:9]; + default: + \17239 = a; + endcase + endfunction + assign _273_ = \17239 (1'hx, { 1'h0, _253_, 8'h00 }, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17244 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17244 = b[0:0]; + 10'b????????1?: + \17244 = b[1:1]; + 10'b???????1??: + \17244 = b[2:2]; + 10'b??????1???: + \17244 = b[3:3]; + 10'b?????1????: + \17244 = b[4:4]; + 10'b????1?????: + \17244 = b[5:5]; + 10'b???1??????: + \17244 = b[6:6]; + 10'b??1???????: + \17244 = b[7:7]; + 10'b?1????????: + \17244 = b[8:8]; + 10'b1?????????: + \17244 = b[9:9]; + default: + \17244 = a; + endcase + endfunction + assign _274_ = \17244 (1'hx, 10'h002, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + function [0:0] \17249 ; + input [0:0] a; + input [9:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \17249 = b[0:0]; + 10'b????????1?: + \17249 = b[1:1]; + 10'b???????1??: + \17249 = b[2:2]; + 10'b??????1???: + \17249 = b[3:3]; + 10'b?????1????: + \17249 = b[4:4]; + 10'b????1?????: + \17249 = b[5:5]; + 10'b???1??????: + \17249 = b[6:6]; + 10'b??1???????: + \17249 = b[7:7]; + 10'b?1????????: + \17249 = b[8:8]; + 10'b1?????????: + \17249 = b[9:9]; + default: + \17249 = a; + endcase + endfunction + assign _275_ = \17249 (1'hx, 10'h008, { _255_, _254_, _249_, _207_, _206_, _187_, _176_, _175_, _173_, _172_ }); + assign _276_ = _258_ == 4'h9; + assign _277_ = _258_ == 4'h8; + assign _278_ = _277_ & r[1]; + assign _279_ = _276_ | _278_; + assign _280_ = _267_ | _268_; + assign _281_ = _280_ | _269_; + assign _282_ = _281_ | _270_[0]; + assign _283_ = _282_ | _270_[1]; + assign _284_ = ~ _283_; + assign _285_ = _279_ ? { _283_, _284_ } : 2'h0; + assign _286_ = r[67] ? 32'd0 : r[164:133]; + assign _287_ = ~ { _090_, _088_, _086_, _084_, _082_, _080_, _078_, _076_, _074_, _072_, _070_, _068_, _066_, _064_, _062_, _060_, _058_, _056_, _054_, _052_, _050_, _048_, _046_, _044_ }; + assign _288_ = r[104:81] & _287_; + assign _289_ = _286_[31:8] & { _090_, _088_, _086_, _084_, _082_, _080_, _078_, _076_, _074_, _072_, _070_, _068_, _066_, _064_, _062_, _060_, _058_, _056_, _054_, _052_, _050_, _048_, _046_, _044_ }; + assign _290_ = _288_ | _289_; + assign _291_ = ~ { _042_, _040_, _038_, _036_, _034_, _032_, _030_, _028_, _026_, _024_, _022_, 5'h1f }; + assign _292_ = r[330:315] & _291_; + assign _293_ = addrsh & { _042_, _040_, _038_, _036_, _034_, _032_, _030_, _028_, _026_, _024_, _022_, 5'h1f }; + assign _294_ = _292_ | _293_; + assign _295_ = ~ { _130_, _128_, _126_, _124_, _122_, _120_, _118_, _116_, _114_, _112_, _110_, _108_, _106_, _104_, _102_, _100_, _098_, _096_, _094_, _092_, _090_, _088_, _086_, _084_, _082_, _080_, _078_, _076_, _074_, _072_, _070_, _068_, _066_, _064_, _062_, _060_, _058_, _056_, _054_, _052_, _050_, _048_, _046_, _044_ }; + assign _296_ = r[423:380] & _295_; + assign _297_ = r[59:16] & { _130_, _128_, _126_, _124_, _122_, _120_, _118_, _116_, _114_, _112_, _110_, _108_, _106_, _104_, _102_, _100_, _098_, _096_, _094_, _092_, _090_, _088_, _086_, _084_, _082_, _080_, _078_, _076_, _074_, _072_, _070_, _068_, _066_, _064_, _062_, _060_, _058_, _056_, _054_, _052_, _050_, _048_, _046_, _044_ }; + assign _298_ = _296_ | _297_; + assign _299_ = _275_ ? { 8'h00, r[124:105], _290_, _286_[7:0], 4'h0 } : { 8'h00, r[367:331], _294_, 3'h0 }; + assign _300_ = _272_ ? { 8'h00, _298_, r[379:368] } : 64'h0000000000000000; + assign _301_ = _272_ ? { r[67:16], 12'h000 } : _299_; + assign _302_ = _274_ ? 64'h0000000000000000 : _300_; + assign _303_ = _274_ ? r[67:4] : _301_; + assign l_out = { _000_, r[436:432], r[170:169] }; + assign d_out = { _302_, _303_, _272_, r[68], _274_, _271_ }; + assign i_out = { _302_, _303_, r[68], _274_, _273_ }; +endmodule + + + +module random(clk, data, raw, err); + input clk; + output [63:0] data; + output err; + output [63:0] raw; + assign data = 64'hffffffffffffffff; + assign raw = 64'hffffffffffffffff; + assign err = 1'h1; +endmodule + + +module rotator(rs, ra, shift, insn, is_32bit, right_shift, arith, clear_left, clear_right, sign_ext_rs, result, carry_out); + wire [31:0] _000_; + wire [31:0] _001_; + wire [5:0] _002_; + wire _003_; + wire _004_; + wire _005_; + wire _006_; + wire _007_; + wire _008_; + wire _009_; + wire _010_; + wire _011_; + wire _012_; + wire _013_; + wire [6:0] _014_; + wire _015_; + wire [6:0] _016_; + wire [6:0] _017_; + wire _018_; + wire _019_; + wire _020_; + wire [5:0] _021_; + wire [6:0] _022_; + wire _023_; + wire _024_; + wire _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire _103_; + wire _104_; + wire _105_; + wire _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire _127_; + wire _128_; + wire _129_; + wire _130_; + wire _131_; + wire _132_; + wire _133_; + wire _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire _141_; + wire _142_; + wire _143_; + wire _144_; + wire _145_; + wire _146_; + wire _147_; + wire _148_; + wire _149_; + wire _150_; + wire _151_; + wire _152_; + wire _153_; + wire _154_; + wire _155_; + wire _156_; + wire _157_; + wire _158_; + wire _159_; + wire _160_; + wire _161_; + wire _162_; + wire _163_; + wire _164_; + wire _165_; + wire _166_; + wire _167_; + wire _168_; + wire _169_; + wire _170_; + wire _171_; + wire _172_; + wire _173_; + wire _174_; + wire _175_; + wire _176_; + wire _177_; + wire _178_; + wire _179_; + wire _180_; + wire _181_; + wire _182_; + wire _183_; + wire _184_; + wire _185_; + wire _186_; + wire _187_; + wire _188_; + wire _189_; + wire _190_; + wire _191_; + wire _192_; + wire _193_; + wire _194_; + wire _195_; + wire _196_; + wire _197_; + wire _198_; + wire _199_; + wire _200_; + wire _201_; + wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire _215_; + wire _216_; + wire _217_; + wire _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire _223_; + wire _224_; + wire _225_; + wire _226_; + wire _227_; + wire _228_; + wire _229_; + wire _230_; + wire _231_; + wire _232_; + wire _233_; + wire _234_; + wire _235_; + wire _236_; + wire _237_; + wire _238_; + wire _239_; + wire _240_; + wire _241_; + wire _242_; + wire _243_; + wire _244_; + wire _245_; + wire _246_; + wire _247_; + wire _248_; + wire _249_; + wire _250_; + wire _251_; + wire _252_; + wire _253_; + wire _254_; + wire _255_; + wire _256_; + wire _257_; + wire _258_; + wire _259_; + wire _260_; + wire _261_; + wire _262_; + wire _263_; + wire _264_; + wire _265_; + wire _266_; + wire _267_; + wire _268_; + wire _269_; + wire _270_; + wire _271_; + wire _272_; + wire _273_; + wire _274_; + wire _275_; + wire _276_; + wire _277_; + wire _278_; + wire _279_; + wire _280_; + wire _281_; + wire _282_; + wire _283_; + wire _284_; + wire _285_; + wire _286_; + wire [63:0] _287_; + wire [63:0] _288_; + wire [63:0] _289_; + wire [63:0] _290_; + wire [63:0] _291_; + wire [63:0] _292_; + wire _293_; + wire [63:0] _294_; + wire [63:0] _295_; + wire [63:0] _296_; + wire [63:0] _297_; + wire [63:0] _298_; + wire [63:0] _299_; + wire _300_; + wire [63:0] _301_; + wire _302_; + wire [63:0] _303_; + wire [63:0] _304_; + wire [63:0] _305_; + wire _306_; + wire [63:0] _307_; + wire [63:0] _308_; + wire _309_; + wire _310_; + input arith; + output carry_out; + input clear_left; + input clear_right; + input [31:0] insn; + input is_32bit; + wire [6:0] mb; + wire [6:0] me; + wire [63:0] ml; + wire [1:0] output_mode; + input [63:0] ra; + output [63:0] result; + input right_shift; + wire [63:0] rot; + wire [63:0] rot1; + wire [63:0] rot2; + wire [5:0] rot_count; + input [63:0] rs; + input [6:0] shift; + input sign_ext_rs; + assign _000_ = sign_ext_rs ? { rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31], rs[31] } : rs[63:32]; + assign _001_ = is_32bit ? rs[31:0] : _000_; + assign _002_ = - $signed(shift[5:0]); + assign rot_count = right_shift ? _002_ : shift[5:0]; + assign _003_ = rot_count[1:0] == 2'h0; + assign _004_ = rot_count[1:0] == 2'h1; + assign _005_ = rot_count[1:0] == 2'h2; + function [63:0] \20645 ; + input [63:0] a; + input [191:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \20645 = b[63:0]; + 3'b?1?: + \20645 = b[127:64]; + 3'b1??: + \20645 = b[191:128]; + default: + \20645 = a; + endcase + endfunction + assign rot1 = \20645 ({ _001_[28:0], rs[31:0], _001_[31:29] }, { _001_[29:0], rs[31:0], _001_[31:30], _001_[30:0], rs[31:0], _001_[31], _001_, rs[31:0] }, { _005_, _004_, _003_ }); + assign _006_ = rot_count[3:2] == 2'h0; + assign _007_ = rot_count[3:2] == 2'h1; + assign _008_ = rot_count[3:2] == 2'h2; + function [63:0] \20663 ; + input [63:0] a; + input [191:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \20663 = b[63:0]; + 3'b?1?: + \20663 = b[127:64]; + 3'b1??: + \20663 = b[191:128]; + default: + \20663 = a; + endcase + endfunction + assign rot2 = \20663 ({ rot1[51:0], rot1[63:52] }, { rot1[55:0], rot1[63:56], rot1[59:0], rot1[63:60], rot1 }, { _008_, _007_, _006_ }); + assign _009_ = rot_count[5:4] == 2'h0; + assign _010_ = rot_count[5:4] == 2'h1; + assign _011_ = rot_count[5:4] == 2'h2; + function [63:0] \20681 ; + input [63:0] a; + input [191:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \20681 = b[63:0]; + 3'b?1?: + \20681 = b[127:64]; + 3'b1??: + \20681 = b[191:128]; + default: + \20681 = a; + endcase + endfunction + assign rot = \20681 ({ rot2[15:0], rot2[63:16] }, { rot2[31:0], rot2[63:32], rot2[47:0], rot2[63:48], rot2 }, { _011_, _010_, _009_ }); + assign _012_ = ~ is_32bit; + assign _013_ = shift[6] & _012_; + assign _014_ = is_32bit ? { 2'h1, insn[10:6] } : { 1'h0, insn[5], insn[10:6] }; + assign _015_ = ~ shift[5]; + assign _016_ = is_32bit ? { shift[5], _015_, shift[4:0] } : { _013_, shift[5:0] }; + assign _017_ = right_shift ? _016_ : { 1'h0, is_32bit, 5'h00 }; + assign mb = clear_left ? _014_ : _017_; + assign _018_ = clear_right & is_32bit; + assign _019_ = ~ clear_left; + assign _020_ = clear_right & _019_; + assign _021_ = ~ shift[5:0]; + assign _022_ = _020_ ? { 1'h0, insn[5], insn[10:6] } : { _013_, _021_ }; + assign me = _018_ ? { 2'h1, insn[5:1] } : _022_; + assign _023_ = $signed(32'd0) >= $signed({ 25'h0000000, mb }); + assign _024_ = _023_ ? 1'h1 : 1'h0; + assign _025_ = $signed(32'd1) >= $signed({ 25'h0000000, mb }); + assign _026_ = _025_ ? 1'h1 : 1'h0; + assign _027_ = $signed(32'd2) >= $signed({ 25'h0000000, mb }); + assign _028_ = _027_ ? 1'h1 : 1'h0; + assign _029_ = $signed(32'd3) >= $signed({ 25'h0000000, mb }); + assign _030_ = _029_ ? 1'h1 : 1'h0; + assign _031_ = $signed(32'd4) >= $signed({ 25'h0000000, mb }); + assign _032_ = _031_ ? 1'h1 : 1'h0; + assign _033_ = $signed(32'd5) >= $signed({ 25'h0000000, mb }); + assign _034_ = _033_ ? 1'h1 : 1'h0; + assign _035_ = $signed(32'd6) >= $signed({ 25'h0000000, mb }); + assign _036_ = _035_ ? 1'h1 : 1'h0; + assign _037_ = $signed(32'd7) >= $signed({ 25'h0000000, mb }); + assign _038_ = _037_ ? 1'h1 : 1'h0; + assign _039_ = $signed(32'd8) >= $signed({ 25'h0000000, mb }); + assign _040_ = _039_ ? 1'h1 : 1'h0; + assign _041_ = $signed(32'd9) >= $signed({ 25'h0000000, mb }); + assign _042_ = _041_ ? 1'h1 : 1'h0; + assign _043_ = $signed(32'd10) >= $signed({ 25'h0000000, mb }); + assign _044_ = _043_ ? 1'h1 : 1'h0; + assign _045_ = $signed(32'd11) >= $signed({ 25'h0000000, mb }); + assign _046_ = _045_ ? 1'h1 : 1'h0; + assign _047_ = $signed(32'd12) >= $signed({ 25'h0000000, mb }); + assign _048_ = _047_ ? 1'h1 : 1'h0; + assign _049_ = $signed(32'd13) >= $signed({ 25'h0000000, mb }); + assign _050_ = _049_ ? 1'h1 : 1'h0; + assign _051_ = $signed(32'd14) >= $signed({ 25'h0000000, mb }); + assign _052_ = _051_ ? 1'h1 : 1'h0; + assign _053_ = $signed(32'd15) >= $signed({ 25'h0000000, mb }); + assign _054_ = _053_ ? 1'h1 : 1'h0; + assign _055_ = $signed(32'd16) >= $signed({ 25'h0000000, mb }); + assign _056_ = _055_ ? 1'h1 : 1'h0; + assign _057_ = $signed(32'd17) >= $signed({ 25'h0000000, mb }); + assign _058_ = _057_ ? 1'h1 : 1'h0; + assign _059_ = $signed(32'd18) >= $signed({ 25'h0000000, mb }); + assign _060_ = _059_ ? 1'h1 : 1'h0; + assign _061_ = $signed(32'd19) >= $signed({ 25'h0000000, mb }); + assign _062_ = _061_ ? 1'h1 : 1'h0; + assign _063_ = $signed(32'd20) >= $signed({ 25'h0000000, mb }); + assign _064_ = _063_ ? 1'h1 : 1'h0; + assign _065_ = $signed(32'd21) >= $signed({ 25'h0000000, mb }); + assign _066_ = _065_ ? 1'h1 : 1'h0; + assign _067_ = $signed(32'd22) >= $signed({ 25'h0000000, mb }); + assign _068_ = _067_ ? 1'h1 : 1'h0; + assign _069_ = $signed(32'd23) >= $signed({ 25'h0000000, mb }); + assign _070_ = _069_ ? 1'h1 : 1'h0; + assign _071_ = $signed(32'd24) >= $signed({ 25'h0000000, mb }); + assign _072_ = _071_ ? 1'h1 : 1'h0; + assign _073_ = $signed(32'd25) >= $signed({ 25'h0000000, mb }); + assign _074_ = _073_ ? 1'h1 : 1'h0; + assign _075_ = $signed(32'd26) >= $signed({ 25'h0000000, mb }); + assign _076_ = _075_ ? 1'h1 : 1'h0; + assign _077_ = $signed(32'd27) >= $signed({ 25'h0000000, mb }); + assign _078_ = _077_ ? 1'h1 : 1'h0; + assign _079_ = $signed(32'd28) >= $signed({ 25'h0000000, mb }); + assign _080_ = _079_ ? 1'h1 : 1'h0; + assign _081_ = $signed(32'd29) >= $signed({ 25'h0000000, mb }); + assign _082_ = _081_ ? 1'h1 : 1'h0; + assign _083_ = $signed(32'd30) >= $signed({ 25'h0000000, mb }); + assign _084_ = _083_ ? 1'h1 : 1'h0; + assign _085_ = $signed(32'd31) >= $signed({ 25'h0000000, mb }); + assign _086_ = _085_ ? 1'h1 : 1'h0; + assign _087_ = $signed(32'd32) >= $signed({ 25'h0000000, mb }); + assign _088_ = _087_ ? 1'h1 : 1'h0; + assign _089_ = $signed(32'd33) >= $signed({ 25'h0000000, mb }); + assign _090_ = _089_ ? 1'h1 : 1'h0; + assign _091_ = $signed(32'd34) >= $signed({ 25'h0000000, mb }); + assign _092_ = _091_ ? 1'h1 : 1'h0; + assign _093_ = $signed(32'd35) >= $signed({ 25'h0000000, mb }); + assign _094_ = _093_ ? 1'h1 : 1'h0; + assign _095_ = $signed(32'd36) >= $signed({ 25'h0000000, mb }); + assign _096_ = _095_ ? 1'h1 : 1'h0; + assign _097_ = $signed(32'd37) >= $signed({ 25'h0000000, mb }); + assign _098_ = _097_ ? 1'h1 : 1'h0; + assign _099_ = $signed(32'd38) >= $signed({ 25'h0000000, mb }); + assign _100_ = _099_ ? 1'h1 : 1'h0; + assign _101_ = $signed(32'd39) >= $signed({ 25'h0000000, mb }); + assign _102_ = _101_ ? 1'h1 : 1'h0; + assign _103_ = $signed(32'd40) >= $signed({ 25'h0000000, mb }); + assign _104_ = _103_ ? 1'h1 : 1'h0; + assign _105_ = $signed(32'd41) >= $signed({ 25'h0000000, mb }); + assign _106_ = _105_ ? 1'h1 : 1'h0; + assign _107_ = $signed(32'd42) >= $signed({ 25'h0000000, mb }); + assign _108_ = _107_ ? 1'h1 : 1'h0; + assign _109_ = $signed(32'd43) >= $signed({ 25'h0000000, mb }); + assign _110_ = _109_ ? 1'h1 : 1'h0; + assign _111_ = $signed(32'd44) >= $signed({ 25'h0000000, mb }); + assign _112_ = _111_ ? 1'h1 : 1'h0; + assign _113_ = $signed(32'd45) >= $signed({ 25'h0000000, mb }); + assign _114_ = _113_ ? 1'h1 : 1'h0; + assign _115_ = $signed(32'd46) >= $signed({ 25'h0000000, mb }); + assign _116_ = _115_ ? 1'h1 : 1'h0; + assign _117_ = $signed(32'd47) >= $signed({ 25'h0000000, mb }); + assign _118_ = _117_ ? 1'h1 : 1'h0; + assign _119_ = $signed(32'd48) >= $signed({ 25'h0000000, mb }); + assign _120_ = _119_ ? 1'h1 : 1'h0; + assign _121_ = $signed(32'd49) >= $signed({ 25'h0000000, mb }); + assign _122_ = _121_ ? 1'h1 : 1'h0; + assign _123_ = $signed(32'd50) >= $signed({ 25'h0000000, mb }); + assign _124_ = _123_ ? 1'h1 : 1'h0; + assign _125_ = $signed(32'd51) >= $signed({ 25'h0000000, mb }); + assign _126_ = _125_ ? 1'h1 : 1'h0; + assign _127_ = $signed(32'd52) >= $signed({ 25'h0000000, mb }); + assign _128_ = _127_ ? 1'h1 : 1'h0; + assign _129_ = $signed(32'd53) >= $signed({ 25'h0000000, mb }); + assign _130_ = _129_ ? 1'h1 : 1'h0; + assign _131_ = $signed(32'd54) >= $signed({ 25'h0000000, mb }); + assign _132_ = _131_ ? 1'h1 : 1'h0; + assign _133_ = $signed(32'd55) >= $signed({ 25'h0000000, mb }); + assign _134_ = _133_ ? 1'h1 : 1'h0; + assign _135_ = $signed(32'd56) >= $signed({ 25'h0000000, mb }); + assign _136_ = _135_ ? 1'h1 : 1'h0; + assign _137_ = $signed(32'd57) >= $signed({ 25'h0000000, mb }); + assign _138_ = _137_ ? 1'h1 : 1'h0; + assign _139_ = $signed(32'd58) >= $signed({ 25'h0000000, mb }); + assign _140_ = _139_ ? 1'h1 : 1'h0; + assign _141_ = $signed(32'd59) >= $signed({ 25'h0000000, mb }); + assign _142_ = _141_ ? 1'h1 : 1'h0; + assign _143_ = $signed(32'd60) >= $signed({ 25'h0000000, mb }); + assign _144_ = _143_ ? 1'h1 : 1'h0; + assign _145_ = $signed(32'd61) >= $signed({ 25'h0000000, mb }); + assign _146_ = _145_ ? 1'h1 : 1'h0; + assign _147_ = $signed(32'd62) >= $signed({ 25'h0000000, mb }); + assign _148_ = _147_ ? 1'h1 : 1'h0; + assign _149_ = $signed(32'd63) >= $signed({ 25'h0000000, mb }); + assign _150_ = _149_ ? 1'h1 : 1'h0; + assign _151_ = ~ me[6]; + assign _152_ = $signed(32'd0) <= $signed({ 25'h0000000, me }); + assign _153_ = _152_ ? 1'h1 : 1'h0; + assign _154_ = $signed(32'd1) <= $signed({ 25'h0000000, me }); + assign _155_ = _154_ ? 1'h1 : 1'h0; + assign _156_ = $signed(32'd2) <= $signed({ 25'h0000000, me }); + assign _157_ = _156_ ? 1'h1 : 1'h0; + assign _158_ = $signed(32'd3) <= $signed({ 25'h0000000, me }); + assign _159_ = _158_ ? 1'h1 : 1'h0; + assign _160_ = $signed(32'd4) <= $signed({ 25'h0000000, me }); + assign _161_ = _160_ ? 1'h1 : 1'h0; + assign _162_ = $signed(32'd5) <= $signed({ 25'h0000000, me }); + assign _163_ = _162_ ? 1'h1 : 1'h0; + assign _164_ = $signed(32'd6) <= $signed({ 25'h0000000, me }); + assign _165_ = _164_ ? 1'h1 : 1'h0; + assign _166_ = $signed(32'd7) <= $signed({ 25'h0000000, me }); + assign _167_ = _166_ ? 1'h1 : 1'h0; + assign _168_ = $signed(32'd8) <= $signed({ 25'h0000000, me }); + assign _169_ = _168_ ? 1'h1 : 1'h0; + assign _170_ = $signed(32'd9) <= $signed({ 25'h0000000, me }); + assign _171_ = _170_ ? 1'h1 : 1'h0; + assign _172_ = $signed(32'd10) <= $signed({ 25'h0000000, me }); + assign _173_ = _172_ ? 1'h1 : 1'h0; + assign _174_ = $signed(32'd11) <= $signed({ 25'h0000000, me }); + assign _175_ = _174_ ? 1'h1 : 1'h0; + assign _176_ = $signed(32'd12) <= $signed({ 25'h0000000, me }); + assign _177_ = _176_ ? 1'h1 : 1'h0; + assign _178_ = $signed(32'd13) <= $signed({ 25'h0000000, me }); + assign _179_ = _178_ ? 1'h1 : 1'h0; + assign _180_ = $signed(32'd14) <= $signed({ 25'h0000000, me }); + assign _181_ = _180_ ? 1'h1 : 1'h0; + assign _182_ = $signed(32'd15) <= $signed({ 25'h0000000, me }); + assign _183_ = _182_ ? 1'h1 : 1'h0; + assign _184_ = $signed(32'd16) <= $signed({ 25'h0000000, me }); + assign _185_ = _184_ ? 1'h1 : 1'h0; + assign _186_ = $signed(32'd17) <= $signed({ 25'h0000000, me }); + assign _187_ = _186_ ? 1'h1 : 1'h0; + assign _188_ = $signed(32'd18) <= $signed({ 25'h0000000, me }); + assign _189_ = _188_ ? 1'h1 : 1'h0; + assign _190_ = $signed(32'd19) <= $signed({ 25'h0000000, me }); + assign _191_ = _190_ ? 1'h1 : 1'h0; + assign _192_ = $signed(32'd20) <= $signed({ 25'h0000000, me }); + assign _193_ = _192_ ? 1'h1 : 1'h0; + assign _194_ = $signed(32'd21) <= $signed({ 25'h0000000, me }); + assign _195_ = _194_ ? 1'h1 : 1'h0; + assign _196_ = $signed(32'd22) <= $signed({ 25'h0000000, me }); + assign _197_ = _196_ ? 1'h1 : 1'h0; + assign _198_ = $signed(32'd23) <= $signed({ 25'h0000000, me }); + assign _199_ = _198_ ? 1'h1 : 1'h0; + assign _200_ = $signed(32'd24) <= $signed({ 25'h0000000, me }); + assign _201_ = _200_ ? 1'h1 : 1'h0; + assign _202_ = $signed(32'd25) <= $signed({ 25'h0000000, me }); + assign _203_ = _202_ ? 1'h1 : 1'h0; + assign _204_ = $signed(32'd26) <= $signed({ 25'h0000000, me }); + assign _205_ = _204_ ? 1'h1 : 1'h0; + assign _206_ = $signed(32'd27) <= $signed({ 25'h0000000, me }); + assign _207_ = _206_ ? 1'h1 : 1'h0; + assign _208_ = $signed(32'd28) <= $signed({ 25'h0000000, me }); + assign _209_ = _208_ ? 1'h1 : 1'h0; + assign _210_ = $signed(32'd29) <= $signed({ 25'h0000000, me }); + assign _211_ = _210_ ? 1'h1 : 1'h0; + assign _212_ = $signed(32'd30) <= $signed({ 25'h0000000, me }); + assign _213_ = _212_ ? 1'h1 : 1'h0; + assign _214_ = $signed(32'd31) <= $signed({ 25'h0000000, me }); + assign _215_ = _214_ ? 1'h1 : 1'h0; + assign _216_ = $signed(32'd32) <= $signed({ 25'h0000000, me }); + assign _217_ = _216_ ? 1'h1 : 1'h0; + assign _218_ = $signed(32'd33) <= $signed({ 25'h0000000, me }); + assign _219_ = _218_ ? 1'h1 : 1'h0; + assign _220_ = $signed(32'd34) <= $signed({ 25'h0000000, me }); + assign _221_ = _220_ ? 1'h1 : 1'h0; + assign _222_ = $signed(32'd35) <= $signed({ 25'h0000000, me }); + assign _223_ = _222_ ? 1'h1 : 1'h0; + assign _224_ = $signed(32'd36) <= $signed({ 25'h0000000, me }); + assign _225_ = _224_ ? 1'h1 : 1'h0; + assign _226_ = $signed(32'd37) <= $signed({ 25'h0000000, me }); + assign _227_ = _226_ ? 1'h1 : 1'h0; + assign _228_ = $signed(32'd38) <= $signed({ 25'h0000000, me }); + assign _229_ = _228_ ? 1'h1 : 1'h0; + assign _230_ = $signed(32'd39) <= $signed({ 25'h0000000, me }); + assign _231_ = _230_ ? 1'h1 : 1'h0; + assign _232_ = $signed(32'd40) <= $signed({ 25'h0000000, me }); + assign _233_ = _232_ ? 1'h1 : 1'h0; + assign _234_ = $signed(32'd41) <= $signed({ 25'h0000000, me }); + assign _235_ = _234_ ? 1'h1 : 1'h0; + assign _236_ = $signed(32'd42) <= $signed({ 25'h0000000, me }); + assign _237_ = _236_ ? 1'h1 : 1'h0; + assign _238_ = $signed(32'd43) <= $signed({ 25'h0000000, me }); + assign _239_ = _238_ ? 1'h1 : 1'h0; + assign _240_ = $signed(32'd44) <= $signed({ 25'h0000000, me }); + assign _241_ = _240_ ? 1'h1 : 1'h0; + assign _242_ = $signed(32'd45) <= $signed({ 25'h0000000, me }); + assign _243_ = _242_ ? 1'h1 : 1'h0; + assign _244_ = $signed(32'd46) <= $signed({ 25'h0000000, me }); + assign _245_ = _244_ ? 1'h1 : 1'h0; + assign _246_ = $signed(32'd47) <= $signed({ 25'h0000000, me }); + assign _247_ = _246_ ? 1'h1 : 1'h0; + assign _248_ = $signed(32'd48) <= $signed({ 25'h0000000, me }); + assign _249_ = _248_ ? 1'h1 : 1'h0; + assign _250_ = $signed(32'd49) <= $signed({ 25'h0000000, me }); + assign _251_ = _250_ ? 1'h1 : 1'h0; + assign _252_ = $signed(32'd50) <= $signed({ 25'h0000000, me }); + assign _253_ = _252_ ? 1'h1 : 1'h0; + assign _254_ = $signed(32'd51) <= $signed({ 25'h0000000, me }); + assign _255_ = _254_ ? 1'h1 : 1'h0; + assign _256_ = $signed(32'd52) <= $signed({ 25'h0000000, me }); + assign _257_ = _256_ ? 1'h1 : 1'h0; + assign _258_ = $signed(32'd53) <= $signed({ 25'h0000000, me }); + assign _259_ = _258_ ? 1'h1 : 1'h0; + assign _260_ = $signed(32'd54) <= $signed({ 25'h0000000, me }); + assign _261_ = _260_ ? 1'h1 : 1'h0; + assign _262_ = $signed(32'd55) <= $signed({ 25'h0000000, me }); + assign _263_ = _262_ ? 1'h1 : 1'h0; + assign _264_ = $signed(32'd56) <= $signed({ 25'h0000000, me }); + assign _265_ = _264_ ? 1'h1 : 1'h0; + assign _266_ = $signed(32'd57) <= $signed({ 25'h0000000, me }); + assign _267_ = _266_ ? 1'h1 : 1'h0; + assign _268_ = $signed(32'd58) <= $signed({ 25'h0000000, me }); + assign _269_ = _268_ ? 1'h1 : 1'h0; + assign _270_ = $signed(32'd59) <= $signed({ 25'h0000000, me }); + assign _271_ = _270_ ? 1'h1 : 1'h0; + assign _272_ = $signed(32'd60) <= $signed({ 25'h0000000, me }); + assign _273_ = _272_ ? 1'h1 : 1'h0; + assign _274_ = $signed(32'd61) <= $signed({ 25'h0000000, me }); + assign _275_ = _274_ ? 1'h1 : 1'h0; + assign _276_ = $signed(32'd62) <= $signed({ 25'h0000000, me }); + assign _277_ = _276_ ? 1'h1 : 1'h0; + assign _278_ = $signed(32'd63) <= $signed({ 25'h0000000, me }); + assign _279_ = _278_ ? 1'h1 : 1'h0; + assign ml = _151_ ? { _153_, _155_, _157_, _159_, _161_, _163_, _165_, _167_, _169_, _171_, _173_, _175_, _177_, _179_, _181_, _183_, _185_, _187_, _189_, _191_, _193_, _195_, _197_, _199_, _201_, _203_, _205_, _207_, _209_, _211_, _213_, _215_, _217_, _219_, _221_, _223_, _225_, _227_, _229_, _231_, _233_, _235_, _237_, _239_, _241_, _243_, _245_, _247_, _249_, _251_, _253_, _255_, _257_, _259_, _261_, _263_, _265_, _267_, _269_, _271_, _273_, _275_, _277_, _279_ } : 64'h0000000000000000; + assign _280_ = ~ clear_right; + assign _281_ = clear_left & _280_; + assign _282_ = _281_ | right_shift; + assign _283_ = arith & _001_[31]; + assign _284_ = mb[5:0] > me[5:0]; + assign _285_ = clear_right & _284_; + assign _286_ = _285_ ? 1'h1 : 1'h0; + assign output_mode = _282_ ? { 1'h1, _283_ } : { 1'h0, _286_ }; + assign _287_ = { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ } & ml; + assign _288_ = rot & _287_; + assign _289_ = { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ } & ml; + assign _290_ = ~ _289_; + assign _291_ = ra & _290_; + assign _292_ = _288_ | _291_; + assign _293_ = output_mode == 2'h0; + assign _294_ = { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ } | ml; + assign _295_ = rot & _294_; + assign _296_ = { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ } | ml; + assign _297_ = ~ _296_; + assign _298_ = ra & _297_; + assign _299_ = _295_ | _298_; + assign _300_ = output_mode == 2'h1; + assign _301_ = rot & { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ }; + assign _302_ = output_mode == 2'h2; + assign _303_ = ~ { _024_, _026_, _028_, _030_, _032_, _034_, _036_, _038_, _040_, _042_, _044_, _046_, _048_, _050_, _052_, _054_, _056_, _058_, _060_, _062_, _064_, _066_, _068_, _070_, _072_, _074_, _076_, _078_, _080_, _082_, _084_, _086_, _088_, _090_, _092_, _094_, _096_, _098_, _100_, _102_, _104_, _106_, _108_, _110_, _112_, _114_, _116_, _118_, _120_, _122_, _124_, _126_, _128_, _130_, _132_, _134_, _136_, _138_, _140_, _142_, _144_, _146_, _148_, _150_ }; + assign _304_ = rot | _303_; + function [63:0] \21743 ; + input [63:0] a; + input [191:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \21743 = b[63:0]; + 3'b?1?: + \21743 = b[127:64]; + 3'b1??: + \21743 = b[191:128]; + default: + \21743 = a; + endcase + endfunction + assign _305_ = \21743 (_304_, { _301_, _299_, _292_ }, { _302_, _300_, _293_ }); + assign _306_ = output_mode == 2'h3; + assign _307_ = ~ ml; + assign _308_ = rs & _307_; + assign _309_ = | _308_; + assign _310_ = _306_ ? _309_ : 1'h0; + assign result = _305_; + assign carry_out = _310_; +endmodule + +module soc_4096_50000000_0_0_4_0_4_0_c832069ef22b63469d396707bc38511cc2410ddb( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + rst, system_clk, wb_dram_out, wb_ext_io_out, ext_irq_eth, uart0_rxd, uart1_rxd, spi_flash_sdat_i, jtag_tck, jtag_tdi, jtag_tms, jtag_trst, alt_reset, wb_dram_in, wb_ext_io_in, wb_ext_is_dram_csr, wb_ext_is_dram_init, wb_ext_is_eth, uart0_txd, uart1_txd, spi_flash_sck, spi_flash_cs_n, spi_flash_sdat_o, spi_flash_sdat_oe, jtag_tdo); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire [31:0] _000_; + wire [33:0] _001_; + wire _002_; + wire [1:0] _003_; + wire _004_; + wire [31:0] _005_; + wire [31:0] _006_; + wire _007_; + wire _008_; + wire [1:0] _009_; + wire _010_; + wire [26:0] _011_; + wire [35:0] _012_; + wire _013_; + wire _014_; + wire _015_; + wire [1:0] _016_; + wire _017_; + wire [63:0] _018_; + wire [1:0] _019_; + wire [29:0] _020_; + wire [35:0] _021_; + wire [1:0] _022_; + wire _023_; + wire [1:0] _024_; + wire _025_; + wire [19:0] _026_; + wire _027_; + wire _028_; + wire [19:0] _029_; + wire _030_; + wire [19:0] _031_; + wire _032_; + wire [19:0] _033_; + wire _034_; + wire [19:0] _035_; + wire _036_; + wire [19:0] _037_; + wire _038_; + wire [19:0] _039_; + wire _040_; + wire [19:0] _041_; + wire _042_; + wire [19:0] _043_; + wire _044_; + wire [3:0] _045_; + wire [3:0] _046_; + wire [3:0] _047_; + wire [3:0] _048_; + wire [3:0] _049_; + wire [3:0] _050_; + wire [3:0] _051_; + wire [3:0] _052_; + wire [3:0] _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire [33:0] _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire [1:0] _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire [3:0] _103_; + wire [3:0] _104_; + wire _105_; + wire [7:0] _106_; + wire _107_; + wire [7:0] _108_; + wire _109_; + wire [1:0] _110_; + wire [1:0] _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire [3:0] _116_; + wire _117_; + wire [3:0] _118_; + wire _119_; + wire [3:0] _120_; + wire _121_; + wire [3:0] _122_; + wire _123_; + wire [3:0] _124_; + wire _125_; + wire [1:0] _126_; + wire [1:0] _127_; + wire [1:0] _128_; + wire [1:0] _129_; + wire [1:0] _130_; + wire _131_; + wire _132_; + wire _133_; + wire _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire [31:0] _141_; + wire [31:0] _142_; + wire _143_; + wire [35:0] _144_; + wire [1:0] _145_; + wire _146_; + wire [68:0] _147_; + wire [1:0] _148_; + wire _149_; + wire _150_; + wire _151_; + wire _152_; + wire _153_; + wire [31:0] _154_; + wire [31:0] _155_; + wire [1:0] _156_; + wire _157_; + wire [35:0] _158_; + wire _159_; + wire _160_; + wire [1:0] _161_; + wire _162_; + wire [1:0] _163_; + wire _164_; + wire [37:0] _165_; + wire [1:0] _166_; + wire _167_; + wire _168_; + wire _169_; + wire _170_; + input alt_reset; + reg alt_reset_d; + wire core_ext_irq; + wire dmi_ack; + wire [7:0] dmi_addr; + wire dmi_core_ack; + wire [63:0] dmi_core_dout; + wire dmi_core_req; + wire [63:0] dmi_din; + wire [63:0] dmi_dout; + wire dmi_req; + wire dmi_wb_ack; + wire [63:0] dmi_wb_dout; + wire dmi_wb_req; + wire dmi_wr; + wire do_core_reset; + wire dram_at_0; + input ext_irq_eth; + wire [11:0] ics_to_icp; + input jtag_tck; + input jtag_tdi; + output jtag_tdo; + input jtag_tms; + input jtag_trst; + input rst; + reg rst_bram = 1'h1; + reg rst_core = 1'h1; + reg rst_dtm = 1'h1; + reg rst_spi = 1'h1; + reg rst_uart = 1'h1; + reg rst_wbar = 1'h1; + reg rst_wbdb = 1'h1; + reg rst_xics = 1'h1; + reg \slave_io_latch.has_top = 1'h0; + reg [1:0] \slave_io_latch.state = 2'h0; + output spi_flash_cs_n; + output spi_flash_sck; + input [3:0] spi_flash_sdat_i; + output [3:0] spi_flash_sdat_o; + output [3:0] spi_flash_sdat_oe; + input system_clk; + wire \uart0_16550.irq_l ; + wire [7:0] uart0_dat8; + reg uart0_irq; + input uart0_rxd; + output uart0_txd; + input uart1_rxd; + output uart1_txd; + wire [65:0] wb_bram_out; + output [106:0] wb_dram_in; + input [65:0] wb_dram_out; + output [68:0] wb_ext_io_in; + input [33:0] wb_ext_io_out; + output wb_ext_is_dram_csr; + output wb_ext_is_dram_init; + output wb_ext_is_eth; + reg [65:0] wb_io_out; + wire [65:0] wb_master_in; + wire [106:0] wb_master_out; + wire [197:0] wb_masters_in; + wire [33:0] wb_sio_in; + reg [68:0] wb_sio_out; + wire wb_spiflash_is_map; + wire wb_spiflash_is_reg; + wire [33:0] wb_spiflash_out; + wire [33:0] wb_syscon_out; + wire [33:0] wb_xics_icp_out; + wire [33:0] wb_xics_ics_out; + wire [106:0] wishbone_dcore_out; + wire [106:0] wishbone_debug_out; + wire [106:0] wishbone_icore_out; + assign _116_ = { wb_master_out[31:29], dram_at_0 } & 4'hf; + assign _117_ = _116_ == 4'h0; + assign _118_ = { wb_master_out[31:29], dram_at_0 } & 4'hf; + assign _119_ = _118_ == 4'h1; + assign _120_ = { wb_master_out[31:29], dram_at_0 } & 4'hc; + assign _121_ = _120_ == 4'h4; + assign _122_ = { wb_master_out[31:29], dram_at_0 } & 4'hc; + assign _123_ = _122_ == 4'h8; + assign _124_ = { wb_master_out[31:29], dram_at_0 } & 4'hc; + assign _125_ = _124_ == 4'hc; + assign _126_ = _125_ ? 2'h2 : 2'h3; + assign _127_ = _123_ ? 2'h0 : _126_; + assign _128_ = _121_ ? 2'h1 : _127_; + assign _129_ = _119_ ? 2'h1 : _128_; + assign _130_ = _117_ ? 2'h0 : _129_; + assign _131_ = _130_ == 2'h3; + assign _132_ = _130_ == 2'h0; + assign _133_ = _130_ == 2'h1; + assign _134_ = _130_ == 2'h2; + function [0:0] \161 ; + input [0:0] a; + input [3:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \161 = b[0:0]; + 4'b??1?: + \161 = b[1:1]; + 4'b?1??: + \161 = b[2:2]; + 4'b1???: + \161 = b[3:3]; + default: + \161 = a; + endcase + endfunction + assign _135_ = \161 (1'hx, { 1'h0, wb_master_out[104], 2'h0 }, { _134_, _133_, _132_, _131_ }); + function [65:0] \164 ; + input [65:0] a; + input [263:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \164 = b[65:0]; + 4'b??1?: + \164 = b[131:66]; + 4'b?1??: + \164 = b[197:132]; + 4'b1???: + \164 = b[263:198]; + default: + \164 = a; + endcase + endfunction + assign wb_master_in = \164 (66'hxxxxxxxxxxxxxxxxx, { wb_io_out, wb_dram_out, wb_bram_out, 66'h00000000000000000 }, { _134_, _133_, _132_, _131_ }); + function [0:0] \166 ; + input [0:0] a; + input [3:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \166 = b[0:0]; + 4'b??1?: + \166 = b[1:1]; + 4'b?1??: + \166 = b[2:2]; + 4'b1???: + \166 = b[3:3]; + default: + \166 = a; + endcase + endfunction + assign _136_ = \166 (1'hx, { wb_master_out[104], 3'h0 }, { _134_, _133_, _132_, _131_ }); + function [0:0] \168 ; + input [0:0] a; + input [3:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \168 = b[0:0]; + 4'b??1?: + \168 = b[1:1]; + 4'b?1??: + \168 = b[2:2]; + 4'b1???: + \168 = b[3:3]; + default: + \168 = a; + endcase + endfunction + assign _137_ = \168 (1'hx, { 2'h0, wb_master_out[104], 1'h0 }, { _134_, _133_, _132_, _131_ }); + assign _138_ = _136_ & wb_master_out[105]; + assign _139_ = wb_master_out[103:100] != 4'h0; + assign _140_ = wb_master_out[99:96] != 4'h0; + assign _141_ = wb_master_out[106] ? wb_master_out[63:32] : wb_sio_out[61:30]; + assign _142_ = wb_master_out[106] ? wb_master_out[95:64] : wb_sio_out[61:30]; + assign _143_ = _140_ ? 1'h0 : 1'h1; + assign _144_ = _140_ ? { wb_master_out[99:96], _141_ } : { wb_master_out[103:100], _142_ }; + assign _145_ = _140_ ? 2'h1 : 2'h2; + assign _146_ = _138_ ? 1'h1 : wb_io_out[65]; + assign _147_ = _138_ ? { wb_master_out[106], 2'h3, _144_, wb_master_out[29:3], _143_, 2'h0 } : wb_sio_out; + assign _148_ = _138_ ? _145_ : \slave_io_latch.state ; + assign _149_ = _138_ ? _139_ : \slave_io_latch.has_top ; + assign _150_ = \slave_io_latch.state == 2'h0; + assign _151_ = ~ wb_sio_in[33]; + assign _152_ = _151_ ? 1'h0 : wb_sio_out[67]; + assign _153_ = ~ wb_sio_out[68]; + assign _154_ = _162_ ? wb_sio_in[31:0] : wb_io_out[31:0]; + assign _155_ = wb_master_out[106] ? wb_master_out[95:64] : wb_sio_out[61:30]; + assign _156_ = \slave_io_latch.has_top ? wb_io_out[65:64] : 2'h1; + assign _157_ = _164_ ? 1'h1 : wb_sio_out[2]; + assign _158_ = \slave_io_latch.has_top ? { wb_master_out[103:100], _155_ } : wb_sio_out[65:30]; + assign _159_ = \slave_io_latch.has_top ? wb_sio_out[66] : 1'h0; + assign _160_ = \slave_io_latch.has_top ? 1'h1 : _152_; + assign _161_ = \slave_io_latch.has_top ? 2'h2 : 2'h0; + assign _162_ = wb_sio_in[32] & _153_; + assign _163_ = wb_sio_in[32] ? _156_ : wb_io_out[65:64]; + assign _164_ = wb_sio_in[32] & \slave_io_latch.has_top ; + assign _165_ = wb_sio_in[32] ? { _160_, _159_, _158_ } : { _152_, wb_sio_out[66:30] }; + assign _166_ = wb_sio_in[32] ? _161_ : \slave_io_latch.state ; + assign _167_ = \slave_io_latch.state == 2'h1; + assign _168_ = ~ wb_sio_in[33]; + assign _169_ = _168_ ? 1'h0 : wb_sio_out[67]; + assign _170_ = ~ wb_sio_out[68]; + assign _000_ = _170_ ? wb_sio_in[31:0] : wb_io_out[63:32]; + assign _001_ = wb_sio_in[32] ? { 2'h1, _000_ } : wb_io_out[65:32]; + assign _002_ = wb_sio_in[32] ? 1'h0 : wb_sio_out[66]; + assign _003_ = wb_sio_in[32] ? 2'h0 : \slave_io_latch.state ; + assign _004_ = \slave_io_latch.state == 2'h2; + function [31:0] \301 ; + input [31:0] a; + input [95:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \301 = b[31:0]; + 3'b?1?: + \301 = b[63:32]; + 3'b1??: + \301 = b[95:64]; + default: + \301 = a; + endcase + endfunction + assign _005_ = \301 (32'hxxxxxxxx, { wb_io_out[31:0], _154_, wb_io_out[31:0] }, { _004_, _167_, _150_ }); + function [31:0] \305 ; + input [31:0] a; + input [95:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \305 = b[31:0]; + 3'b?1?: + \305 = b[63:32]; + 3'b1??: + \305 = b[95:64]; + default: + \305 = a; + endcase + endfunction + assign _006_ = \305 (32'hxxxxxxxx, { _001_[31:0], wb_io_out[63:32], wb_io_out[63:32] }, { _004_, _167_, _150_ }); + function [0:0] \309 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \309 = b[0:0]; + 3'b?1?: + \309 = b[1:1]; + 3'b1??: + \309 = b[2:2]; + default: + \309 = a; + endcase + endfunction + assign _007_ = \309 (1'hx, { _001_[32], _163_[0], 1'h0 }, { _004_, _167_, _150_ }); + function [0:0] \313 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \313 = b[0:0]; + 3'b?1?: + \313 = b[1:1]; + 3'b1??: + \313 = b[2:2]; + default: + \313 = a; + endcase + endfunction + assign _008_ = \313 (1'hx, { _001_[33], _163_[1], _146_ }, { _004_, _167_, _150_ }); + function [1:0] \317 ; + input [1:0] a; + input [5:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \317 = b[1:0]; + 3'b?1?: + \317 = b[3:2]; + 3'b1??: + \317 = b[5:4]; + default: + \317 = a; + endcase + endfunction + assign _009_ = \317 (2'hx, { wb_sio_out[1:0], wb_sio_out[1:0], _147_[1:0] }, { _004_, _167_, _150_ }); + function [0:0] \321 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \321 = b[0:0]; + 3'b?1?: + \321 = b[1:1]; + 3'b1??: + \321 = b[2:2]; + default: + \321 = a; + endcase + endfunction + assign _010_ = \321 (1'hx, { wb_sio_out[2], _157_, _147_[2] }, { _004_, _167_, _150_ }); + function [26:0] \325 ; + input [26:0] a; + input [80:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \325 = b[26:0]; + 3'b?1?: + \325 = b[53:27]; + 3'b1??: + \325 = b[80:54]; + default: + \325 = a; + endcase + endfunction + assign _011_ = \325 (27'hxxxxxxx, { wb_sio_out[29:3], wb_sio_out[29:3], _147_[29:3] }, { _004_, _167_, _150_ }); + function [35:0] \330 ; + input [35:0] a; + input [107:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \330 = b[35:0]; + 3'b?1?: + \330 = b[71:36]; + 3'b1??: + \330 = b[107:72]; + default: + \330 = a; + endcase + endfunction + assign _012_ = \330 (36'hxxxxxxxxx, { wb_sio_out[65:30], _165_[35:0], _147_[65:30] }, { _004_, _167_, _150_ }); + function [0:0] \334 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \334 = b[0:0]; + 3'b?1?: + \334 = b[1:1]; + 3'b1??: + \334 = b[2:2]; + default: + \334 = a; + endcase + endfunction + assign _013_ = \334 (1'hx, { _002_, _165_[36], _147_[66] }, { _004_, _167_, _150_ }); + function [0:0] \338 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \338 = b[0:0]; + 3'b?1?: + \338 = b[1:1]; + 3'b1??: + \338 = b[2:2]; + default: + \338 = a; + endcase + endfunction + assign _014_ = \338 (1'hx, { _169_, _165_[37], _147_[67] }, { _004_, _167_, _150_ }); + function [0:0] \342 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \342 = b[0:0]; + 3'b?1?: + \342 = b[1:1]; + 3'b1??: + \342 = b[2:2]; + default: + \342 = a; + endcase + endfunction + assign _015_ = \342 (1'hx, { wb_sio_out[68], wb_sio_out[68], _147_[68] }, { _004_, _167_, _150_ }); + function [1:0] \344 ; + input [1:0] a; + input [5:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \344 = b[1:0]; + 3'b?1?: + \344 = b[3:2]; + 3'b1??: + \344 = b[5:4]; + default: + \344 = a; + endcase + endfunction + assign _016_ = \344 (2'hx, { _003_, _166_, _148_ }, { _004_, _167_, _150_ }); + function [0:0] \346 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \346 = b[0:0]; + 3'b?1?: + \346 = b[1:1]; + 3'b1??: + \346 = b[2:2]; + default: + \346 = a; + endcase + endfunction + assign _017_ = \346 (1'hx, { \slave_io_latch.has_top , \slave_io_latch.has_top , _149_ }, { _004_, _167_, _150_ }); + assign _018_ = rst ? wb_io_out[63:0] : { _006_, _005_ }; + assign _019_ = rst ? 2'h0 : { _008_, _007_ }; + assign _020_ = rst ? 30'h00000000 : { _011_, _010_, _009_ }; + assign _021_ = rst ? wb_sio_out[65:30] : _012_; + assign _022_ = rst ? 2'h0 : { _014_, _013_ }; + assign _023_ = rst ? wb_sio_out[68] : _015_; + assign _024_ = rst ? 2'h0 : _016_; + assign _025_ = rst ? 1'h0 : _017_; + always @(posedge system_clk) + wb_io_out <= { _019_, _018_ }; + always @(posedge system_clk) + wb_sio_out <= { _023_, _022_, _021_, _020_ }; + always @(posedge system_clk) + \slave_io_latch.state <= _024_; + always @(posedge system_clk) + \slave_io_latch.has_top <= _025_; + assign _026_ = { 2'h3, wb_sio_out[29:12] } & 20'hff000; + assign _027_ = _026_ == 20'hff000; + assign _028_ = _027_ & 1'h1; + assign _029_ = { 2'h3, wb_sio_out[29:12] } & 20'hf0000; + assign _030_ = _029_ == 20'hf0000; + assign _031_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _032_ = _031_ == 20'hc0000; + assign _033_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _034_ = _033_ == 20'hc0002; + assign _035_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _036_ = _035_ == 20'hc0003; + assign _037_ = { 2'h3, wb_sio_out[29:12] } & 20'hff000; + assign _038_ = _037_ == 20'hc8000; + assign _039_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _040_ = _039_ == 20'hc0004; + assign _041_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _042_ = _041_ == 20'hc0005; + assign _043_ = { 2'h3, wb_sio_out[29:12] } & 20'hfffff; + assign _044_ = _043_ == 20'hc0006; + assign _045_ = _044_ ? 4'h5 : 4'h8; + assign _046_ = _042_ ? 4'h3 : _045_; + assign _047_ = _040_ ? 4'h2 : _046_; + assign _048_ = _038_ ? 4'h7 : _047_; + assign _049_ = _036_ ? 4'h4 : _048_; + assign _050_ = _034_ ? 4'h1 : _049_; + assign _051_ = _032_ ? 4'h0 : _050_; + assign _052_ = _030_ ? 4'h6 : _051_; + assign _053_ = _028_ ? 4'h7 : _052_; + assign _054_ = wb_sio_out[67] & wb_sio_out[66]; + assign _055_ = wb_sio_out[29] & 1'h1; + assign _056_ = wb_sio_out[23:16] == 8'h00; + assign _057_ = _056_ & 1'h1; + assign _058_ = wb_sio_out[23:16] == 8'h02; + assign _059_ = _058_ & 1'h1; + assign _060_ = wb_sio_out[23:16] == 8'h03; + assign _061_ = _060_ & 1'h1; + assign _062_ = _061_ ? 1'h1 : 1'h0; + assign _063_ = _061_ ? 1'h1 : 1'h0; + assign _064_ = _059_ ? 1'h1 : _062_; + assign _065_ = _059_ ? 1'h1 : _063_; + assign _066_ = _057_ ? 1'h1 : 1'h0; + assign _067_ = _057_ ? 1'h0 : _064_; + assign _068_ = _057_ ? 1'h1 : _065_; + assign _069_ = _055_ ? 1'h0 : _066_; + assign _070_ = _055_ ? 1'h1 : 1'h0; + assign _071_ = _055_ ? 1'h0 : _067_; + assign _072_ = _055_ ? 1'h1 : _068_; + assign _073_ = _072_ ? wb_sio_out[66] : 1'h0; + assign _074_ = _072_ ? wb_ext_io_out : { 1'h0, _054_, 32'hffffffff }; + assign _075_ = _053_ == 4'h7; + assign _076_ = _053_ == 4'h0; + assign _077_ = _053_ == 4'h1; + assign _078_ = _053_ == 4'h2; + assign _079_ = _053_ == 4'h3; + assign _080_ = _053_ == 4'h4; + assign _081_ = _053_ == 4'h6; + assign _082_ = _053_ == 4'h5; + function [0:0] \560 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \560 = b[0:0]; + 8'b??????1?: + \560 = b[1:1]; + 8'b?????1??: + \560 = b[2:2]; + 8'b????1???: + \560 = b[3:3]; + 8'b???1????: + \560 = b[4:4]; + 8'b??1?????: + \560 = b[5:5]; + 8'b?1??????: + \560 = b[6:6]; + 8'b1???????: + \560 = b[7:7]; + default: + \560 = a; + endcase + endfunction + assign _083_ = \560 (1'h0, { 7'h00, _073_ }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \562 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \562 = b[0:0]; + 8'b??????1?: + \562 = b[1:1]; + 8'b?????1??: + \562 = b[2:2]; + 8'b????1???: + \562 = b[3:3]; + 8'b???1????: + \562 = b[4:4]; + 8'b??1?????: + \562 = b[5:5]; + 8'b?1??????: + \562 = b[6:6]; + 8'b1???????: + \562 = b[7:7]; + default: + \562 = a; + endcase + endfunction + assign _084_ = \562 (1'h0, { 7'h00, _069_ }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \565 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \565 = b[0:0]; + 8'b??????1?: + \565 = b[1:1]; + 8'b?????1??: + \565 = b[2:2]; + 8'b????1???: + \565 = b[3:3]; + 8'b???1????: + \565 = b[4:4]; + 8'b??1?????: + \565 = b[5:5]; + 8'b?1??????: + \565 = b[6:6]; + 8'b1???????: + \565 = b[7:7]; + default: + \565 = a; + endcase + endfunction + assign _085_ = \565 (1'h0, { 7'h00, _070_ }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \568 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \568 = b[0:0]; + 8'b??????1?: + \568 = b[1:1]; + 8'b?????1??: + \568 = b[2:2]; + 8'b????1???: + \568 = b[3:3]; + 8'b???1????: + \568 = b[4:4]; + 8'b??1?????: + \568 = b[5:5]; + 8'b?1??????: + \568 = b[6:6]; + 8'b1???????: + \568 = b[7:7]; + default: + \568 = a; + endcase + endfunction + assign _086_ = \568 (1'h0, { 7'h00, _071_ }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [33:0] \571 ; + input [33:0] a; + input [271:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \571 = b[33:0]; + 8'b??????1?: + \571 = b[67:34]; + 8'b?????1??: + \571 = b[101:68]; + 8'b????1???: + \571 = b[135:102]; + 8'b???1????: + \571 = b[169:136]; + 8'b??1?????: + \571 = b[203:170]; + 8'b?1??????: + \571 = b[237:204]; + 8'b1???????: + \571 = b[271:238]; + default: + \571 = a; + endcase + endfunction + assign wb_sio_in = \571 ({ 1'h0, _054_, 32'hffffffff }, { wb_spiflash_out, wb_spiflash_out, 1'h0, _100_, 32'h00000000, wb_xics_ics_out, wb_xics_icp_out, _099_, _095_, 24'h000000, uart0_dat8, wb_syscon_out, _074_ }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \572 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \572 = b[0:0]; + 8'b??????1?: + \572 = b[1:1]; + 8'b?????1??: + \572 = b[2:2]; + 8'b????1???: + \572 = b[3:3]; + 8'b???1????: + \572 = b[4:4]; + 8'b??1?????: + \572 = b[5:5]; + 8'b?1??????: + \572 = b[6:6]; + 8'b1???????: + \572 = b[7:7]; + default: + \572 = a; + endcase + endfunction + assign _087_ = \572 (1'h0, { 6'h00, wb_sio_out[66], 1'h0 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \573 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \573 = b[0:0]; + 8'b??????1?: + \573 = b[1:1]; + 8'b?????1??: + \573 = b[2:2]; + 8'b????1???: + \573 = b[3:3]; + 8'b???1????: + \573 = b[4:4]; + 8'b??1?????: + \573 = b[5:5]; + 8'b?1??????: + \573 = b[6:6]; + 8'b1???????: + \573 = b[7:7]; + default: + \573 = a; + endcase + endfunction + assign _088_ = \573 (1'h0, { 5'h00, wb_sio_out[66], 2'h0 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \574 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \574 = b[0:0]; + 8'b??????1?: + \574 = b[1:1]; + 8'b?????1??: + \574 = b[2:2]; + 8'b????1???: + \574 = b[3:3]; + 8'b???1????: + \574 = b[4:4]; + 8'b??1?????: + \574 = b[5:5]; + 8'b?1??????: + \574 = b[6:6]; + 8'b1???????: + \574 = b[7:7]; + default: + \574 = a; + endcase + endfunction + assign _089_ = \574 (1'h0, { 2'h0, wb_sio_out[66], 5'h00 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [1:0] \576 ; + input [1:0] a; + input [15:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \576 = b[1:0]; + 8'b??????1?: + \576 = b[3:2]; + 8'b?????1??: + \576 = b[5:4]; + 8'b????1???: + \576 = b[7:6]; + 8'b???1????: + \576 = b[9:8]; + 8'b??1?????: + \576 = b[11:10]; + 8'b?1??????: + \576 = b[13:12]; + 8'b1???????: + \576 = b[15:14]; + default: + \576 = a; + endcase + endfunction + assign _090_ = \576 (wb_sio_out[29:28], { wb_sio_out[29:28], 2'h0, wb_sio_out[29:28], wb_sio_out[29:28], wb_sio_out[29:28], wb_sio_out[29:28], wb_sio_out[29:28], wb_sio_out[29:28] }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \577 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \577 = b[0:0]; + 8'b??????1?: + \577 = b[1:1]; + 8'b?????1??: + \577 = b[2:2]; + 8'b????1???: + \577 = b[3:3]; + 8'b???1????: + \577 = b[4:4]; + 8'b??1?????: + \577 = b[5:5]; + 8'b?1??????: + \577 = b[6:6]; + 8'b1???????: + \577 = b[7:7]; + default: + \577 = a; + endcase + endfunction + assign _091_ = \577 (1'h0, { wb_sio_out[66], wb_sio_out[66], 6'h00 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \582 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \582 = b[0:0]; + 8'b??????1?: + \582 = b[1:1]; + 8'b?????1??: + \582 = b[2:2]; + 8'b????1???: + \582 = b[3:3]; + 8'b???1????: + \582 = b[4:4]; + 8'b??1?????: + \582 = b[5:5]; + 8'b?1??????: + \582 = b[6:6]; + 8'b1???????: + \582 = b[7:7]; + default: + \582 = a; + endcase + endfunction + assign wb_spiflash_is_reg = \582 (1'h0, 8'h80, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \586 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \586 = b[0:0]; + 8'b??????1?: + \586 = b[1:1]; + 8'b?????1??: + \586 = b[2:2]; + 8'b????1???: + \586 = b[3:3]; + 8'b???1????: + \586 = b[4:4]; + 8'b??1?????: + \586 = b[5:5]; + 8'b?1??????: + \586 = b[6:6]; + 8'b1???????: + \586 = b[7:7]; + default: + \586 = a; + endcase + endfunction + assign wb_spiflash_is_map = \586 (1'h0, 8'h40, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \588 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \588 = b[0:0]; + 8'b??????1?: + \588 = b[1:1]; + 8'b?????1??: + \588 = b[2:2]; + 8'b????1???: + \588 = b[3:3]; + 8'b???1????: + \588 = b[4:4]; + 8'b??1?????: + \588 = b[5:5]; + 8'b?1??????: + \588 = b[6:6]; + 8'b1???????: + \588 = b[7:7]; + default: + \588 = a; + endcase + endfunction + assign _092_ = \588 (1'h0, { 4'h0, wb_sio_out[66], 3'h0 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + function [0:0] \589 ; + input [0:0] a; + input [7:0] b; + input [7:0] s; + (* parallel_case *) + casez (s) + 8'b???????1: + \589 = b[0:0]; + 8'b??????1?: + \589 = b[1:1]; + 8'b?????1??: + \589 = b[2:2]; + 8'b????1???: + \589 = b[3:3]; + 8'b???1????: + \589 = b[4:4]; + 8'b??1?????: + \589 = b[5:5]; + 8'b?1??????: + \589 = b[6:6]; + 8'b1???????: + \589 = b[7:7]; + default: + \589 = a; + endcase + endfunction + assign _093_ = \589 (1'h0, { 3'h0, wb_sio_out[66], 4'h0 }, { _082_, _081_, _080_, _079_, _078_, _077_, _076_, _075_ }); + always @(posedge system_clk) + uart0_irq <= \uart0_16550.irq_l ; + assign _099_ = ~ _095_; + assign _100_ = _089_ & wb_sio_out[67]; + assign _106_ = dmi_addr & 8'hfc; + assign _107_ = _106_ == 8'h00; + assign _108_ = dmi_addr & 8'hf0; + assign _109_ = _108_ == 8'h10; + assign _110_ = _109_ ? 2'h1 : 2'h2; + assign _111_ = _107_ ? 2'h0 : _110_; + assign _112_ = _111_ == 2'h0; + assign _113_ = _111_ == 2'h1; + function [63:0] \667 ; + input [63:0] a; + input [127:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \667 = b[63:0]; + 2'b1?: + \667 = b[127:64]; + default: + \667 = a; + endcase + endfunction + assign dmi_din = \667 (64'hffffffffffffffff, { dmi_core_dout, dmi_wb_dout }, { _113_, _112_ }); + function [0:0] \668 ; + input [0:0] a; + input [1:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \668 = b[0:0]; + 2'b1?: + \668 = b[1:1]; + default: + \668 = a; + endcase + endfunction + assign dmi_ack = \668 (dmi_req, { dmi_core_ack, dmi_wb_ack }, { _113_, _112_ }); + function [0:0] \670 ; + input [0:0] a; + input [1:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \670 = b[0:0]; + 2'b1?: + \670 = b[1:1]; + default: + \670 = a; + endcase + endfunction + assign dmi_wb_req = \670 (1'h0, { 1'h0, dmi_req }, { _113_, _112_ }); + function [0:0] \673 ; + input [0:0] a; + input [1:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \673 = b[0:0]; + 2'b1?: + \673 = b[1:1]; + default: + \673 = a; + endcase + endfunction + assign dmi_core_req = \673 (1'h0, { dmi_req, 1'h0 }, { _113_, _112_ }); + assign _114_ = rst | do_core_reset; + always @(posedge system_clk) + rst_core <= _114_; + always @(posedge system_clk) + rst_uart <= rst; + always @(posedge system_clk) + rst_xics <= rst; + always @(posedge system_clk) + rst_spi <= rst; + always @(posedge system_clk) + rst_bram <= rst; + always @(posedge system_clk) + rst_dtm <= rst; + always @(posedge system_clk) + rst_wbar <= rst; + always @(posedge system_clk) + rst_wbdb <= rst; + always @(posedge system_clk) + alt_reset_d <= alt_reset; + wishbone_bram_wrapper_4096_a75adb9e07879fb6c63b494abe06e3f9a6bb2ed9 \bram.bram0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .clk(system_clk), + .rst(rst_bram), + .wishbone_in({ wb_master_out[106:105], _137_, wb_master_out[103:0] }), + .wishbone_out(wb_bram_out) + ); + dmi_dtm_jtag_8_64 \dmi_jtag.dtm ( + .dmi_ack(dmi_ack), + .dmi_addr(dmi_addr), + .dmi_din(dmi_din), + .dmi_dout(dmi_dout), + .dmi_req(dmi_req), + .dmi_wr(dmi_wr), + .jtag_tck(jtag_tck), + .jtag_tdi(jtag_tdi), + .jtag_tdo(_105_), + .jtag_tms(jtag_tms), + .jtag_trst(jtag_trst), + .sys_clk(system_clk), + .sys_reset(rst_dtm) + ); + core_0_602f7ae323a872754ff5ac989c2e00f60e206d8e processor ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .alt_reset(alt_reset_d), + .clk(system_clk), + .dmi_ack(dmi_core_ack), + .dmi_addr(dmi_addr[3:0]), + .dmi_din(dmi_dout), + .dmi_dout(dmi_core_dout), + .dmi_req(dmi_core_req), + .dmi_wr(dmi_wr), + .ext_irq(core_ext_irq), + .rst(rst_core), + .terminated_out(_115_), + .wishbone_data_in(wb_masters_in[197:132]), + .wishbone_data_out(wishbone_dcore_out), + .wishbone_insn_in(wb_masters_in[131:66]), + .wishbone_insn_out(wishbone_icore_out) + ); + spi_flash_ctrl_4_4_1489f923c4dca729178b3e3233458550d8dddf29 \spiflash_gen.spiflash ( + .clk(system_clk), + .cs_n(_102_), + .rst(rst_spi), + .sck(_101_), + .sdat_i(spi_flash_sdat_i), + .sdat_o(_103_), + .sdat_oe(_104_), + .wb_in({ wb_sio_out[68:67], _091_, wb_sio_out[65:30], _090_, wb_sio_out[27:0] }), + .wb_out(wb_spiflash_out), + .wb_sel_map(wb_spiflash_is_map), + .wb_sel_reg(wb_spiflash_is_reg) + ); + syscon_50000000_4096_0_0_0_d1a6c63d707d362dd5f27f0b0ee5a7d91add1255 syscon0 ( + .clk(system_clk), + .core_reset(do_core_reset), + .dram_at_0(dram_at_0), + .rst(rst), + .soc_reset(_094_), + .wishbone_in({ wb_sio_out[68:67], _087_, wb_sio_out[65:0] }), + .wishbone_out(wb_syscon_out) + ); + uart_top \uart0_16550.uart0 ( + .cts_pad_i(1'h1), + .dcd_pad_i(1'h1), + .dsr_pad_i(1'h1), + .dtr_pad_o(_098_), + .int_o(\uart0_16550.irq_l ), + .ri_pad_i(1'h0), + .rts_pad_o(_097_), + .srx_pad_i(uart0_rxd), + .stx_pad_o(_096_), + .wb_ack_o(_095_), + .wb_adr_i(wb_sio_out[4:2]), + .wb_clk_i(system_clk), + .wb_cyc_i(_088_), + .wb_dat_i(wb_sio_out[37:30]), + .wb_dat_o(uart0_dat8), + .wb_rst_i(rst_uart), + .wb_stb_i(wb_sio_out[67]), + .wb_we_i(wb_sio_out[68]) + ); + wishbone_arbiter_3 wishbone_arbiter_0 ( + .clk(system_clk), + .rst(rst_wbar), + .wb_masters_in({ wishbone_dcore_out, wishbone_icore_out, wishbone_debug_out }), + .wb_masters_out(wb_masters_in), + .wb_slave_in(wb_master_in), + .wb_slave_out(wb_master_out) + ); + wishbone_debug_master wishbone_debug ( + .clk(system_clk), + .dmi_ack(dmi_wb_ack), + .dmi_addr(dmi_addr[1:0]), + .dmi_din(dmi_dout), + .dmi_dout(dmi_wb_dout), + .dmi_req(dmi_wb_req), + .dmi_wr(dmi_wr), + .rst(rst_wbdb), + .wb_in(wb_masters_in[65:0]), + .wb_out(wishbone_debug_out) + ); + xics_icp xics_icp ( + .clk(system_clk), + .core_irq_out(core_ext_irq), + .ics_in(ics_to_icp), + .rst(rst_xics), + .wb_in({ wb_sio_out[68:67], _092_, wb_sio_out[65:30], 22'h000000, wb_sio_out[7:0] }), + .wb_out(wb_xics_icp_out) + ); + xics_ics_16_3 xics_ics ( + .clk(system_clk), + .icp_out(ics_to_icp), + .int_level_in({ 14'h0000, ext_irq_eth, uart0_irq }), + .rst(rst_xics), + .wb_in({ wb_sio_out[68:67], _093_, wb_sio_out[65:30], 18'h00000, wb_sio_out[11:0] }), + .wb_out(wb_xics_ics_out) + ); + assign wb_dram_in = { wb_master_out[106:105], _135_, wb_master_out[103:0] }; + assign wb_ext_io_in = { wb_sio_out[68:67], _083_, wb_sio_out[65:0] }; + assign wb_ext_is_dram_csr = _084_; + assign wb_ext_is_dram_init = _085_; + assign wb_ext_is_eth = _086_; + assign uart0_txd = _096_; + assign uart1_txd = 1'hz; + assign spi_flash_sck = _101_; + assign spi_flash_cs_n = _102_; + assign spi_flash_sdat_o = _103_; + assign spi_flash_sdat_oe = _104_; + assign jtag_tdo = _105_; +endmodule + +module spi_flash_ctrl_4_4_1489f923c4dca729178b3e3233458550d8dddf29(clk, rst, wb_in, wb_sel_reg, wb_sel_map, sdat_i, wb_out, sck, cs_n, sdat_o, sdat_oe); + wire _000_; + wire [3:0] _001_; + wire [3:0] _002_; + wire _003_; + wire _004_; + wire _005_; + wire _006_; + wire _007_; + wire _008_; + wire _009_; + wire _010_; + wire _011_; + wire _012_; + wire [2:0] _013_; + wire [2:0] _014_; + wire [2:0] _015_; + wire [2:0] _016_; + wire _017_; + wire _018_; + wire _019_; + wire _020_; + wire _021_; + wire _022_; + wire _023_; + wire _024_; + wire [68:0] _025_; + wire _026_; + wire [65:0] _027_; + wire [1:0] _028_; + wire _029_; + wire _030_; + wire [68:0] _031_; + wire _032_; + wire [68:0] _033_; + wire [31:0] _034_; + wire [1:0] _035_; + wire [68:0] _036_; + wire [61:0] _037_; + wire [6:0] _038_; + reg [33:0] _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire [31:0] _052_; + wire [32:0] _053_; + wire _054_; + wire [33:0] _055_; + wire [31:0] _056_; + wire [1:0] _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire [31:0] _062_; + wire [31:0] _063_; + wire [31:0] _064_; + wire [5:0] _065_; + wire [4:0] _066_; + wire [31:0] _067_; + wire _068_; + wire _069_; + wire _070_; + wire [31:0] _071_; + wire [5:0] _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire [5:0] _082_; + wire _083_; + wire [4:0] _084_; + wire [5:0] _085_; + wire _086_; + wire [4:0] _087_; + wire _088_; + wire _089_; + wire [4:0] _090_; + wire _091_; + wire [4:0] _092_; + wire [4:0] _093_; + wire _094_; + wire [4:0] _095_; + wire _096_; + wire [4:0] _097_; + wire _098_; + wire [4:0] _099_; + wire _100_; + wire _101_; + wire [4:0] _102_; + wire [4:0] _103_; + wire _104_; + wire [4:0] _105_; + wire _106_; + wire _107_; + wire _108_; + wire [2:0] _109_; + wire [2:0] _110_; + wire [4:0] _111_; + wire _112_; + wire [7:0] _113_; + wire [4:0] _114_; + wire _115_; + wire _116_; + wire _117_; + wire [2:0] _118_; + wire [2:0] _119_; + wire [4:0] _120_; + wire _121_; + wire [7:0] _122_; + wire [4:0] _123_; + wire _124_; + wire _125_; + wire _126_; + wire [2:0] _127_; + wire [2:0] _128_; + wire [4:0] _129_; + wire _130_; + wire [7:0] _131_; + wire [4:0] _132_; + wire _133_; + wire _134_; + wire _135_; + wire [2:0] _136_; + wire [2:0] _137_; + wire [4:0] _138_; + wire _139_; + wire [7:0] _140_; + wire [4:0] _141_; + wire _142_; + wire _143_; + wire _144_; + wire _145_; + wire _146_; + wire _147_; + wire _148_; + wire _149_; + wire _150_; + wire [5:0] _151_; + wire [4:0] _152_; + wire [5:0] _153_; + wire [4:0] _154_; + wire _155_; + wire _156_; + wire [4:0] _157_; + wire _158_; + wire _159_; + wire [2:0] _160_; + wire [7:0] _161_; + wire [2:0] _162_; + wire [7:0] _163_; + wire [7:0] _164_; + wire [7:0] _165_; + wire [7:0] _166_; + wire [5:0] _167_; + wire _168_; + wire [4:0] _169_; + wire _170_; + wire _171_; + wire [3:0] _172_; + wire [7:0] _173_; + wire [29:0] _174_; + wire _175_; + wire _176_; + wire _177_; + wire _178_; + wire _179_; + wire _180_; + wire _181_; + wire _182_; + wire _183_; + wire _184_; + wire _185_; + wire _186_; + wire _187_; + wire _188_; + wire _189_; + wire _190_; + wire _191_; + wire _192_; + wire _193_; + wire _194_; + wire _195_; + wire [15:0] _196_; + wire _197_; + wire _198_; + wire _199_; + wire _200_; + wire _201_; + wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire _215_; + wire _216_; + wire _217_; + wire _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire _223_; + wire _224_; + wire _225_; + wire _226_; + wire _227_; + wire [29:0] _228_; + wire [15:0] _229_; + wire _230_; + wire auto_ack; + reg [29:0] auto_cfg_reg = 30'h00000000; + wire [2:0] auto_cmd_mode; + wire auto_cmd_valid; + reg [5:0] auto_cnt = 6'h00; + wire [5:0] auto_cnt_next; + wire auto_cs; + wire [2:0] auto_d_clks; + wire [7:0] auto_d_txd; + reg [31:0] auto_data = 32'd0; + wire [31:0] auto_data_next; + wire [31:0] auto_lad_next; + reg [31:0] auto_last_addr; + wire auto_latch_adr; + wire [4:0] auto_next; + reg [4:0] auto_state = 5'h00; + wire bus_idle; + input clk; + wire [7:0] cmd_clk_div; + wire [2:0] cmd_mode; + wire cmd_ready; + wire cmd_valid; + output cs_n; + reg [15:0] ctrl_reg = 16'h0000; + wire d_ack; + wire [2:0] d_clks; + wire [7:0] d_rx; + wire [7:0] d_tx; + reg pending_read; + input rst; + output sck; + input [3:0] sdat_i; + output [3:0] sdat_o; + output [3:0] sdat_oe; + input [68:0] wb_in; + wire wb_map_valid; + output [33:0] wb_out; + wire [2:0] wb_reg; + wire wb_reg_dat_v; + wire wb_reg_valid; + reg [68:0] wb_req; + input wb_sel_map; + input wb_sel_reg; + reg [68:0] wb_stash; + wire wb_valid; + assign wb_valid = wb_req[67] & wb_req[66]; + assign wb_reg_valid = wb_valid & wb_sel_reg; + assign wb_map_valid = wb_valid & wb_sel_map; + assign wb_reg = wb_reg_valid ? wb_req[4:2] : 3'h7; + assign _003_ = wb_reg == 3'h0; + assign wb_reg_dat_v = _003_ ? 1'h1 : 1'h0; + assign _004_ = cmd_valid & cmd_ready; + assign _005_ = ~ wb_req[68]; + assign _006_ = bus_idle ? 1'h0 : pending_read; + assign _007_ = _004_ ? _005_ : _006_; + always @(posedge clk) + pending_read <= _007_; + assign _008_ = pending_read & wb_req[68]; + assign _009_ = ~ _008_; + assign _010_ = wb_reg_dat_v & _009_; + assign _011_ = wb_req[65:62] == 4'h2; + assign _012_ = wb_req[65:62] == 4'h4; + assign _013_ = _012_ ? { 2'h3, wb_req[68] } : { 2'h1, wb_req[68] }; + assign _014_ = _012_ ? 3'h1 : 3'h7; + assign _015_ = _011_ ? { 2'h2, wb_req[68] } : _013_; + assign _016_ = _011_ ? 3'h3 : _014_; + assign _017_ = ~ ctrl_reg[1]; + assign _018_ = ~ auto_cs; + assign _019_ = ctrl_reg[1] ? _017_ : _018_; + assign cmd_valid = ctrl_reg[1] ? _010_ : auto_cmd_valid; + assign cmd_clk_div = ctrl_reg[1] ? ctrl_reg[15:8] : auto_cfg_reg[23:16]; + assign cmd_mode = ctrl_reg[1] ? _015_ : auto_cmd_mode; + assign d_clks = ctrl_reg[1] ? _016_ : auto_d_clks; + assign d_tx = ctrl_reg[1] ? wb_req[37:30] : auto_d_txd; + assign _020_ = ~ _039_[33]; + assign _021_ = _061_ & _020_; + assign _022_ = _021_ & wb_in[66]; + assign _023_ = _022_ & wb_in[67]; + assign _024_ = _023_ ? 1'h1 : _061_; + assign _025_ = _023_ ? wb_in : wb_stash; + assign _026_ = ~ _061_; + assign _027_ = wb_in[66] ? wb_in[65:0] : wb_req[65:0]; + assign _028_ = wb_in[66] ? wb_in[67:66] : wb_in[67:66]; + assign _029_ = wb_in[66] ? wb_in[68] : wb_req[68]; + assign _030_ = _032_ ? 1'h0 : _024_; + assign _031_ = _039_[33] ? wb_stash : { _029_, _028_, _027_ }; + assign _032_ = _026_ & _039_[33]; + assign _033_ = _026_ ? _031_ : wb_req; + assign _034_ = rst ? _039_[31:0] : _062_; + assign _035_ = rst ? 2'h0 : { _030_, _060_ }; + assign _036_ = rst ? wb_req : _033_; + assign _037_ = rst ? wb_stash[61:0] : _025_[61:0]; + assign _038_ = rst ? 7'h00 : _025_[68:62]; + always @(posedge clk) + _039_ <= { _035_, _034_ }; + always @(posedge clk) + wb_req <= _036_; + always @(posedge clk) + wb_stash <= { _038_, _037_ }; + assign _040_ = ~ auto_ack; + assign _041_ = wb_reg == 3'h0; + assign _042_ = ctrl_reg[1] & _041_; + assign _043_ = wb_req[68] & pending_read; + assign _044_ = wb_req[68] & cmd_ready; + assign _045_ = ~ cmd_ready; + assign _046_ = _043_ ? 1'h0 : _044_; + assign _047_ = _043_ ? 1'h1 : _045_; + assign _048_ = auto_state == 5'h01; + assign _049_ = _048_ & bus_idle; + assign _050_ = wb_reg == 3'h1; + assign _051_ = wb_reg == 3'h2; + function [31:0] \5381 ; + input [31:0] a; + input [63:0] b; + input [1:0] s; + (* parallel_case *) + casez (s) + 2'b?1: + \5381 = b[31:0]; + 2'b1?: + \5381 = b[63:32]; + default: + \5381 = a; + endcase + endfunction + assign _052_ = \5381 ({ 8'h00, d_rx, d_rx, d_rx }, { 2'h0, auto_cfg_reg, 16'h0000, ctrl_reg }, { _051_, _050_ }); + assign _053_ = _049_ ? { 1'h1, _052_ } : { 9'h000, d_rx, d_rx, d_rx }; + assign _054_ = _049_ ? 1'h0 : 1'h1; + assign _055_ = wb_reg_valid ? { _054_, _053_ } : { 10'h000, d_rx, d_rx, d_rx }; + assign _056_ = _042_ ? { 8'h00, d_rx, d_rx, d_rx } : _055_[31:0]; + assign _057_ = _042_ ? { _047_, _046_ } : _055_[33:32]; + assign _058_ = ctrl_reg[1] & d_ack; + assign _059_ = wb_map_valid ? auto_ack : _057_[0]; + assign _060_ = _058_ ? 1'h1 : _059_; + assign _061_ = wb_map_valid ? _040_ : _057_[1]; + assign _062_ = wb_map_valid ? auto_data : _056_; + assign _063_ = auto_latch_adr ? auto_lad_next : auto_last_addr; + assign _064_ = rst ? auto_data : auto_data_next; + assign _065_ = rst ? auto_cnt : auto_cnt_next; + assign _066_ = rst ? 5'h00 : auto_next; + assign _067_ = rst ? 32'd0 : _063_; + always @(posedge clk) + auto_data <= _064_; + always @(posedge clk) + auto_cnt <= _065_; + always @(posedge clk) + auto_state <= _066_; + always @(posedge clk) + auto_last_addr <= _067_; + assign auto_lad_next = { 2'h0, wb_req[29:2], 2'h0 } + 32'd4; + assign _068_ = { 2'h0, wb_req[29:2], 2'h0 } == auto_last_addr; + assign _069_ = rst | ctrl_reg[0]; + assign _070_ = { 26'h0000000, auto_cnt } != 32'd0; + assign _071_ = { 26'h0000000, auto_cnt } - 32'd1; + assign _072_ = _070_ ? _071_[5:0] : auto_cnt; + assign _073_ = auto_state != 5'h01; + assign _074_ = auto_state != 5'h13; + assign _075_ = _073_ & _074_; + assign _076_ = auto_state != 5'h00; + assign _077_ = _075_ & _076_; + assign _078_ = _077_ ? 1'h1 : 1'h0; + assign _079_ = auto_state == 5'h00; + assign _080_ = ~ ctrl_reg[1]; + assign _081_ = wb_map_valid & _080_; + assign _082_ = wb_req[68] ? _072_ : 6'h01; + assign _083_ = wb_req[68] ? 1'h1 : 1'h0; + assign _084_ = wb_req[68] ? auto_state : 5'h02; + assign _085_ = _081_ ? _082_ : _072_; + assign _086_ = _081_ ? _083_ : 1'h0; + assign _087_ = _081_ ? _084_ : auto_state; + assign _088_ = auto_state == 5'h01; + assign _089_ = { 26'h0000000, auto_cnt } == 32'd0; + assign _090_ = _089_ ? 5'h03 : auto_state; + assign _091_ = auto_state == 5'h02; + assign _092_ = auto_cfg_reg[13] ? 5'h07 : 5'h06; + assign _093_ = cmd_ready ? _092_ : auto_state; + assign _094_ = auto_state == 5'h03; + assign _095_ = cmd_ready ? 5'h06 : auto_state; + assign _096_ = auto_state == 5'h07; + assign _097_ = cmd_ready ? 5'h05 : auto_state; + assign _098_ = auto_state == 5'h06; + assign _099_ = cmd_ready ? 5'h04 : auto_state; + assign _100_ = auto_state == 5'h05; + assign _101_ = auto_cfg_reg[10:8] == 3'h0; + assign _102_ = _101_ ? 5'h09 : 5'h08; + assign _103_ = cmd_ready ? _102_ : auto_state; + assign _104_ = auto_state == 5'h04; + assign _105_ = cmd_ready ? 5'h09 : auto_state; + assign _106_ = auto_state == 5'h08; + assign _107_ = auto_cfg_reg[12:11] == 2'h3; + assign _108_ = auto_cfg_reg[12:11] == 2'h2; + assign _109_ = _108_ ? 3'h3 : 3'h7; + assign _110_ = _107_ ? 3'h1 : _109_; + assign _111_ = cmd_ready ? 5'h0d : auto_state; + assign _112_ = auto_state == 5'h09; + assign _113_ = d_ack ? d_rx : auto_data[7:0]; + assign _114_ = d_ack ? 5'h0a : auto_state; + assign _115_ = auto_state == 5'h0d; + assign _116_ = auto_cfg_reg[12:11] == 2'h3; + assign _117_ = auto_cfg_reg[12:11] == 2'h2; + assign _118_ = _117_ ? 3'h3 : 3'h7; + assign _119_ = _116_ ? 3'h1 : _118_; + assign _120_ = cmd_ready ? 5'h0e : auto_state; + assign _121_ = auto_state == 5'h0a; + assign _122_ = d_ack ? d_rx : auto_data[15:8]; + assign _123_ = d_ack ? 5'h0b : auto_state; + assign _124_ = auto_state == 5'h0e; + assign _125_ = auto_cfg_reg[12:11] == 2'h3; + assign _126_ = auto_cfg_reg[12:11] == 2'h2; + assign _127_ = _126_ ? 3'h3 : 3'h7; + assign _128_ = _125_ ? 3'h1 : _127_; + assign _129_ = cmd_ready ? 5'h0f : auto_state; + assign _130_ = auto_state == 5'h0b; + assign _131_ = d_ack ? d_rx : auto_data[23:16]; + assign _132_ = d_ack ? 5'h0c : auto_state; + assign _133_ = auto_state == 5'h0f; + assign _134_ = auto_cfg_reg[12:11] == 2'h3; + assign _135_ = auto_cfg_reg[12:11] == 2'h2; + assign _136_ = _135_ ? 3'h3 : 3'h7; + assign _137_ = _134_ ? 3'h1 : _136_; + assign _138_ = cmd_ready ? 5'h10 : auto_state; + assign _139_ = auto_state == 5'h0c; + assign _140_ = d_ack ? d_rx : auto_data[31:24]; + assign _141_ = d_ack ? 5'h11 : auto_state; + assign _142_ = d_ack ? 1'h1 : 1'h0; + assign _143_ = auto_state == 5'h10; + assign _144_ = auto_state == 5'h11; + assign _145_ = wb_map_valid & _068_; + assign _146_ = ~ wb_req[68]; + assign _147_ = _145_ & _146_; + assign _148_ = wb_map_valid | wb_reg_valid; + assign _149_ = { 26'h0000000, auto_cnt } == 32'd0; + assign _150_ = _148_ | _149_; + assign _151_ = _150_ ? 6'h0a : _072_; + assign _152_ = _150_ ? 5'h13 : auto_state; + assign _153_ = _147_ ? _072_ : _151_; + assign _154_ = _147_ ? 5'h09 : _152_; + assign _155_ = auto_state == 5'h12; + assign _156_ = { 26'h0000000, auto_cnt } == 32'd0; + assign _157_ = _156_ ? 5'h01 : auto_state; + assign _158_ = auto_state == 5'h13; + function [0:0] \5689 ; + input [0:0] a; + input [19:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5689 = b[0:0]; + 20'b??????????????????1?: + \5689 = b[1:1]; + 20'b?????????????????1??: + \5689 = b[2:2]; + 20'b????????????????1???: + \5689 = b[3:3]; + 20'b???????????????1????: + \5689 = b[4:4]; + 20'b??????????????1?????: + \5689 = b[5:5]; + 20'b?????????????1??????: + \5689 = b[6:6]; + 20'b????????????1???????: + \5689 = b[7:7]; + 20'b???????????1????????: + \5689 = b[8:8]; + 20'b??????????1?????????: + \5689 = b[9:9]; + 20'b?????????1??????????: + \5689 = b[10:10]; + 20'b????????1???????????: + \5689 = b[11:11]; + 20'b???????1????????????: + \5689 = b[12:12]; + 20'b??????1?????????????: + \5689 = b[13:13]; + 20'b?????1??????????????: + \5689 = b[14:14]; + 20'b????1???????????????: + \5689 = b[15:15]; + 20'b???1????????????????: + \5689 = b[16:16]; + 20'b??1?????????????????: + \5689 = b[17:17]; + 20'b?1??????????????????: + \5689 = b[18:18]; + 20'b1???????????????????: + \5689 = b[19:19]; + default: + \5689 = a; + endcase + endfunction + assign _159_ = \5689 (1'hx, 20'h0abf8, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [2:0] \5692 ; + input [2:0] a; + input [59:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5692 = b[2:0]; + 20'b??????????????????1?: + \5692 = b[5:3]; + 20'b?????????????????1??: + \5692 = b[8:6]; + 20'b????????????????1???: + \5692 = b[11:9]; + 20'b???????????????1????: + \5692 = b[14:12]; + 20'b??????????????1?????: + \5692 = b[17:15]; + 20'b?????????????1??????: + \5692 = b[20:18]; + 20'b????????????1???????: + \5692 = b[23:21]; + 20'b???????????1????????: + \5692 = b[26:24]; + 20'b??????????1?????????: + \5692 = b[29:27]; + 20'b?????????1??????????: + \5692 = b[32:30]; + 20'b????????1???????????: + \5692 = b[35:33]; + 20'b???????1????????????: + \5692 = b[38:36]; + 20'b??????1?????????????: + \5692 = b[41:39]; + 20'b?????1??????????????: + \5692 = b[44:42]; + 20'b????1???????????????: + \5692 = b[47:45]; + 20'b???1????????????????: + \5692 = b[50:48]; + 20'b??1?????????????????: + \5692 = b[53:51]; + 20'b?1??????????????????: + \5692 = b[56:54]; + 20'b1???????????????????: + \5692 = b[59:57]; + default: + \5692 = a; + endcase + endfunction + assign _160_ = \5692 (3'hx, { 12'h249, auto_cfg_reg[12:11], 4'h1, auto_cfg_reg[12:11], 4'h1, auto_cfg_reg[12:11], 4'h1, auto_cfg_reg[12:11], 28'h1249249 }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [7:0] \5695 ; + input [7:0] a; + input [159:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5695 = b[7:0]; + 20'b??????????????????1?: + \5695 = b[15:8]; + 20'b?????????????????1??: + \5695 = b[23:16]; + 20'b????????????????1???: + \5695 = b[31:24]; + 20'b???????????????1????: + \5695 = b[39:32]; + 20'b??????????????1?????: + \5695 = b[47:40]; + 20'b?????????????1??????: + \5695 = b[55:48]; + 20'b????????????1???????: + \5695 = b[63:56]; + 20'b???????????1????????: + \5695 = b[71:64]; + 20'b??????????1?????????: + \5695 = b[79:72]; + 20'b?????????1??????????: + \5695 = b[87:80]; + 20'b????????1???????????: + \5695 = b[95:88]; + 20'b???????1????????????: + \5695 = b[103:96]; + 20'b??????1?????????????: + \5695 = b[111:104]; + 20'b?????1??????????????: + \5695 = b[119:112]; + 20'b????1???????????????: + \5695 = b[127:120]; + 20'b???1????????????????: + \5695 = b[135:128]; + 20'b??1?????????????????: + \5695 = b[143:136]; + 20'b?1??????????????????: + \5695 = b[151:144]; + 20'b1???????????????????: + \5695 = b[159:152]; + default: + \5695 = a; + endcase + endfunction + assign _161_ = \5695 (8'hxx, { 96'h000000000000000000000000, wb_req[7:2], 2'h0, wb_req[15:8], wb_req[23:16], 2'h0, wb_req[29:24], auto_cfg_reg[7:0], 24'h000000 }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [2:0] \5698 ; + input [2:0] a; + input [59:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5698 = b[2:0]; + 20'b??????????????????1?: + \5698 = b[5:3]; + 20'b?????????????????1??: + \5698 = b[8:6]; + 20'b????????????????1???: + \5698 = b[11:9]; + 20'b???????????????1????: + \5698 = b[14:12]; + 20'b??????????????1?????: + \5698 = b[17:15]; + 20'b?????????????1??????: + \5698 = b[20:18]; + 20'b????????????1???????: + \5698 = b[23:21]; + 20'b???????????1????????: + \5698 = b[26:24]; + 20'b??????????1?????????: + \5698 = b[29:27]; + 20'b?????????1??????????: + \5698 = b[32:30]; + 20'b????????1???????????: + \5698 = b[35:33]; + 20'b???????1????????????: + \5698 = b[38:36]; + 20'b??????1?????????????: + \5698 = b[41:39]; + 20'b?????1??????????????: + \5698 = b[44:42]; + 20'b????1???????????????: + \5698 = b[47:45]; + 20'b???1????????????????: + \5698 = b[50:48]; + 20'b??1?????????????????: + \5698 = b[53:51]; + 20'b?1??????????????????: + \5698 = b[56:54]; + 20'b1???????????????????: + \5698 = b[59:57]; + default: + \5698 = a; + endcase + endfunction + assign _162_ = \5698 (3'hx, { 12'hfff, _137_, 3'h7, _128_, 3'h7, _119_, 3'h7, _110_, auto_cfg_reg[10:8], 24'hffffff }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [7:0] \5701 ; + input [7:0] a; + input [159:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5701 = b[7:0]; + 20'b??????????????????1?: + \5701 = b[15:8]; + 20'b?????????????????1??: + \5701 = b[23:16]; + 20'b????????????????1???: + \5701 = b[31:24]; + 20'b???????????????1????: + \5701 = b[39:32]; + 20'b??????????????1?????: + \5701 = b[47:40]; + 20'b?????????????1??????: + \5701 = b[55:48]; + 20'b????????????1???????: + \5701 = b[63:56]; + 20'b???????????1????????: + \5701 = b[71:64]; + 20'b??????????1?????????: + \5701 = b[79:72]; + 20'b?????????1??????????: + \5701 = b[87:80]; + 20'b????????1???????????: + \5701 = b[95:88]; + 20'b???????1????????????: + \5701 = b[103:96]; + 20'b??????1?????????????: + \5701 = b[111:104]; + 20'b?????1??????????????: + \5701 = b[119:112]; + 20'b????1???????????????: + \5701 = b[127:120]; + 20'b???1????????????????: + \5701 = b[135:128]; + 20'b??1?????????????????: + \5701 = b[143:136]; + 20'b?1??????????????????: + \5701 = b[151:144]; + 20'b1???????????????????: + \5701 = b[159:152]; + default: + \5701 = a; + endcase + endfunction + assign _163_ = \5701 (8'hxx, { auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], _113_, auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0], auto_data[7:0] }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [7:0] \5704 ; + input [7:0] a; + input [159:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5704 = b[7:0]; + 20'b??????????????????1?: + \5704 = b[15:8]; + 20'b?????????????????1??: + \5704 = b[23:16]; + 20'b????????????????1???: + \5704 = b[31:24]; + 20'b???????????????1????: + \5704 = b[39:32]; + 20'b??????????????1?????: + \5704 = b[47:40]; + 20'b?????????????1??????: + \5704 = b[55:48]; + 20'b????????????1???????: + \5704 = b[63:56]; + 20'b???????????1????????: + \5704 = b[71:64]; + 20'b??????????1?????????: + \5704 = b[79:72]; + 20'b?????????1??????????: + \5704 = b[87:80]; + 20'b????????1???????????: + \5704 = b[95:88]; + 20'b???????1????????????: + \5704 = b[103:96]; + 20'b??????1?????????????: + \5704 = b[111:104]; + 20'b?????1??????????????: + \5704 = b[119:112]; + 20'b????1???????????????: + \5704 = b[127:120]; + 20'b???1????????????????: + \5704 = b[135:128]; + 20'b??1?????????????????: + \5704 = b[143:136]; + 20'b?1??????????????????: + \5704 = b[151:144]; + 20'b1???????????????????: + \5704 = b[159:152]; + default: + \5704 = a; + endcase + endfunction + assign _164_ = \5704 (8'hxx, { auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], _122_, auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8], auto_data[15:8] }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [7:0] \5707 ; + input [7:0] a; + input [159:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5707 = b[7:0]; + 20'b??????????????????1?: + \5707 = b[15:8]; + 20'b?????????????????1??: + \5707 = b[23:16]; + 20'b????????????????1???: + \5707 = b[31:24]; + 20'b???????????????1????: + \5707 = b[39:32]; + 20'b??????????????1?????: + \5707 = b[47:40]; + 20'b?????????????1??????: + \5707 = b[55:48]; + 20'b????????????1???????: + \5707 = b[63:56]; + 20'b???????????1????????: + \5707 = b[71:64]; + 20'b??????????1?????????: + \5707 = b[79:72]; + 20'b?????????1??????????: + \5707 = b[87:80]; + 20'b????????1???????????: + \5707 = b[95:88]; + 20'b???????1????????????: + \5707 = b[103:96]; + 20'b??????1?????????????: + \5707 = b[111:104]; + 20'b?????1??????????????: + \5707 = b[119:112]; + 20'b????1???????????????: + \5707 = b[127:120]; + 20'b???1????????????????: + \5707 = b[135:128]; + 20'b??1?????????????????: + \5707 = b[143:136]; + 20'b?1??????????????????: + \5707 = b[151:144]; + 20'b1???????????????????: + \5707 = b[159:152]; + default: + \5707 = a; + endcase + endfunction + assign _165_ = \5707 (8'hxx, { auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], _131_, auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16], auto_data[23:16] }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [7:0] \5710 ; + input [7:0] a; + input [159:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5710 = b[7:0]; + 20'b??????????????????1?: + \5710 = b[15:8]; + 20'b?????????????????1??: + \5710 = b[23:16]; + 20'b????????????????1???: + \5710 = b[31:24]; + 20'b???????????????1????: + \5710 = b[39:32]; + 20'b??????????????1?????: + \5710 = b[47:40]; + 20'b?????????????1??????: + \5710 = b[55:48]; + 20'b????????????1???????: + \5710 = b[63:56]; + 20'b???????????1????????: + \5710 = b[71:64]; + 20'b??????????1?????????: + \5710 = b[79:72]; + 20'b?????????1??????????: + \5710 = b[87:80]; + 20'b????????1???????????: + \5710 = b[95:88]; + 20'b???????1????????????: + \5710 = b[103:96]; + 20'b??????1?????????????: + \5710 = b[111:104]; + 20'b?????1??????????????: + \5710 = b[119:112]; + 20'b????1???????????????: + \5710 = b[127:120]; + 20'b???1????????????????: + \5710 = b[135:128]; + 20'b??1?????????????????: + \5710 = b[143:136]; + 20'b?1??????????????????: + \5710 = b[151:144]; + 20'b1???????????????????: + \5710 = b[159:152]; + default: + \5710 = a; + endcase + endfunction + assign _166_ = \5710 (8'hxx, { auto_data[31:24], auto_data[31:24], auto_data[31:24], _140_, auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24], auto_data[31:24] }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [5:0] \5712 ; + input [5:0] a; + input [119:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5712 = b[5:0]; + 20'b??????????????????1?: + \5712 = b[11:6]; + 20'b?????????????????1??: + \5712 = b[17:12]; + 20'b????????????????1???: + \5712 = b[23:18]; + 20'b???????????????1????: + \5712 = b[29:24]; + 20'b??????????????1?????: + \5712 = b[35:30]; + 20'b?????????????1??????: + \5712 = b[41:36]; + 20'b????????????1???????: + \5712 = b[47:42]; + 20'b???????????1????????: + \5712 = b[53:48]; + 20'b??????????1?????????: + \5712 = b[59:54]; + 20'b?????????1??????????: + \5712 = b[65:60]; + 20'b????????1???????????: + \5712 = b[71:66]; + 20'b???????1????????????: + \5712 = b[77:72]; + 20'b??????1?????????????: + \5712 = b[83:78]; + 20'b?????1??????????????: + \5712 = b[89:84]; + 20'b????1???????????????: + \5712 = b[95:90]; + 20'b???1????????????????: + \5712 = b[101:96]; + 20'b??1?????????????????: + \5712 = b[107:102]; + 20'b?1??????????????????: + \5712 = b[113:108]; + 20'b1???????????????????: + \5712 = b[119:114]; + default: + \5712 = a; + endcase + endfunction + assign _167_ = \5712 (6'hxx, { _072_, _153_, auto_cfg_reg[29:24], _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _072_, _085_, _072_ }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [0:0] \5716 ; + input [0:0] a; + input [19:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5716 = b[0:0]; + 20'b??????????????????1?: + \5716 = b[1:1]; + 20'b?????????????????1??: + \5716 = b[2:2]; + 20'b????????????????1???: + \5716 = b[3:3]; + 20'b???????????????1????: + \5716 = b[4:4]; + 20'b??????????????1?????: + \5716 = b[5:5]; + 20'b?????????????1??????: + \5716 = b[6:6]; + 20'b????????????1???????: + \5716 = b[7:7]; + 20'b???????????1????????: + \5716 = b[8:8]; + 20'b??????????1?????????: + \5716 = b[9:9]; + 20'b?????????1??????????: + \5716 = b[10:10]; + 20'b????????1???????????: + \5716 = b[11:11]; + 20'b???????1????????????: + \5716 = b[12:12]; + 20'b??????1?????????????: + \5716 = b[13:13]; + 20'b?????1??????????????: + \5716 = b[14:14]; + 20'b????1???????????????: + \5716 = b[15:15]; + 20'b???1????????????????: + \5716 = b[16:16]; + 20'b??1?????????????????: + \5716 = b[17:17]; + 20'b?1??????????????????: + \5716 = b[18:18]; + 20'b1???????????????????: + \5716 = b[19:19]; + default: + \5716 = a; + endcase + endfunction + assign _168_ = \5716 (1'hx, { 18'h08000, _086_, 1'h0 }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [4:0] \5720 ; + input [4:0] a; + input [99:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5720 = b[4:0]; + 20'b??????????????????1?: + \5720 = b[9:5]; + 20'b?????????????????1??: + \5720 = b[14:10]; + 20'b????????????????1???: + \5720 = b[19:15]; + 20'b???????????????1????: + \5720 = b[24:20]; + 20'b??????????????1?????: + \5720 = b[29:25]; + 20'b?????????????1??????: + \5720 = b[34:30]; + 20'b????????????1???????: + \5720 = b[39:35]; + 20'b???????????1????????: + \5720 = b[44:40]; + 20'b??????????1?????????: + \5720 = b[49:45]; + 20'b?????????1??????????: + \5720 = b[54:50]; + 20'b????????1???????????: + \5720 = b[59:55]; + 20'b???????1????????????: + \5720 = b[64:60]; + 20'b??????1?????????????: + \5720 = b[69:65]; + 20'b?????1??????????????: + \5720 = b[74:70]; + 20'b????1???????????????: + \5720 = b[79:75]; + 20'b???1????????????????: + \5720 = b[84:80]; + 20'b??1?????????????????: + \5720 = b[89:85]; + 20'b?1??????????????????: + \5720 = b[94:90]; + 20'b1???????????????????: + \5720 = b[99:95]; + default: + \5720 = a; + endcase + endfunction + assign _169_ = \5720 (5'hxx, { _157_, _154_, 5'h12, _141_, _138_, _132_, _129_, _123_, _120_, _114_, _111_, _105_, _103_, _099_, _097_, _095_, _093_, _090_, _087_, 5'h01 }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + function [0:0] \5723 ; + input [0:0] a; + input [19:0] b; + input [19:0] s; + (* parallel_case *) + casez (s) + 20'b???????????????????1: + \5723 = b[0:0]; + 20'b??????????????????1?: + \5723 = b[1:1]; + 20'b?????????????????1??: + \5723 = b[2:2]; + 20'b????????????????1???: + \5723 = b[3:3]; + 20'b???????????????1????: + \5723 = b[4:4]; + 20'b??????????????1?????: + \5723 = b[5:5]; + 20'b?????????????1??????: + \5723 = b[6:6]; + 20'b????????????1???????: + \5723 = b[7:7]; + 20'b???????????1????????: + \5723 = b[8:8]; + 20'b??????????1?????????: + \5723 = b[9:9]; + 20'b?????????1??????????: + \5723 = b[10:10]; + 20'b????????1???????????: + \5723 = b[11:11]; + 20'b???????1????????????: + \5723 = b[12:12]; + 20'b??????1?????????????: + \5723 = b[13:13]; + 20'b?????1??????????????: + \5723 = b[14:14]; + 20'b????1???????????????: + \5723 = b[15:15]; + 20'b???1????????????????: + \5723 = b[16:16]; + 20'b??1?????????????????: + \5723 = b[17:17]; + 20'b?1??????????????????: + \5723 = b[18:18]; + 20'b1???????????????????: + \5723 = b[19:19]; + default: + \5723 = a; + endcase + endfunction + assign _170_ = \5723 (1'hx, { 3'h0, _142_, 16'h0000 }, { _158_, _155_, _144_, _143_, _139_, _133_, _130_, _124_, _121_, _115_, _112_, _106_, _104_, _100_, _098_, _096_, _094_, _091_, _088_, _079_ }); + assign auto_cs = _069_ ? 1'h0 : _078_; + assign auto_cmd_valid = _069_ ? 1'h0 : _159_; + assign auto_cmd_mode = _069_ ? 3'h1 : _160_; + assign auto_d_txd = _069_ ? 8'h00 : _161_; + assign auto_d_clks = _069_ ? 3'h7 : _162_; + assign auto_data_next = _069_ ? auto_data : { _166_, _165_, _164_, _163_ }; + assign auto_cnt_next = _069_ ? 6'h00 : _167_; + assign auto_ack = _069_ ? 1'h0 : _168_; + assign auto_next = _069_ ? 5'h00 : _169_; + assign auto_latch_adr = _069_ ? 1'h0 : _170_; + assign _171_ = rst | ctrl_reg[0]; + assign _172_ = _171_ ? 4'h0 : ctrl_reg[3:0]; + assign _173_ = _171_ ? 8'h04 : ctrl_reg[15:8]; + assign _174_ = _171_ ? 30'h20040003 : auto_cfg_reg; + assign _175_ = wb_reg_valid & wb_req[68]; + assign _176_ = auto_state == 5'h01; + assign _177_ = _175_ & _176_; + assign _178_ = _177_ & bus_idle; + assign _179_ = wb_reg == 3'h1; + assign _180_ = wb_req[63] ? wb_req[45] : ctrl_reg[15]; + assign _181_ = wb_req[63] ? wb_req[44] : ctrl_reg[14]; + assign _182_ = wb_req[63] ? wb_req[43] : ctrl_reg[13]; + assign _183_ = wb_req[63] ? wb_req[42] : ctrl_reg[12]; + assign _184_ = wb_req[63] ? wb_req[41] : ctrl_reg[11]; + assign _185_ = wb_req[63] ? wb_req[40] : ctrl_reg[10]; + assign _186_ = wb_req[63] ? wb_req[39] : ctrl_reg[9]; + assign _187_ = wb_req[63] ? wb_req[38] : ctrl_reg[8]; + assign _188_ = wb_req[62] ? wb_req[37] : ctrl_reg[7]; + assign _189_ = wb_req[62] ? wb_req[36] : ctrl_reg[6]; + assign _190_ = wb_req[62] ? wb_req[35] : ctrl_reg[5]; + assign _191_ = wb_req[62] ? wb_req[34] : ctrl_reg[4]; + assign _192_ = wb_req[62] ? wb_req[33] : ctrl_reg[3]; + assign _193_ = wb_req[62] ? wb_req[32] : ctrl_reg[2]; + assign _194_ = wb_req[62] ? wb_req[31] : ctrl_reg[1]; + assign _195_ = wb_req[62] ? wb_req[30] : ctrl_reg[0]; + assign _196_ = _179_ ? { _180_, _181_, _182_, _183_, _184_, _185_, _186_, _187_, _188_, _189_, _190_, _191_, _192_, _193_, _194_, _195_ } : { _173_, ctrl_reg[7:4], _172_ }; + assign _197_ = wb_reg == 3'h2; + assign _198_ = wb_req[65] ? wb_req[59] : auto_cfg_reg[29]; + assign _199_ = wb_req[65] ? wb_req[58] : auto_cfg_reg[28]; + assign _200_ = wb_req[65] ? wb_req[57] : auto_cfg_reg[27]; + assign _201_ = wb_req[65] ? wb_req[56] : auto_cfg_reg[26]; + assign _202_ = wb_req[65] ? wb_req[55] : auto_cfg_reg[25]; + assign _203_ = wb_req[65] ? wb_req[54] : auto_cfg_reg[24]; + assign _204_ = wb_req[64] ? wb_req[53] : auto_cfg_reg[23]; + assign _205_ = wb_req[64] ? wb_req[52] : auto_cfg_reg[22]; + assign _206_ = wb_req[64] ? wb_req[51] : auto_cfg_reg[21]; + assign _207_ = wb_req[64] ? wb_req[50] : auto_cfg_reg[20]; + assign _208_ = wb_req[64] ? wb_req[49] : auto_cfg_reg[19]; + assign _209_ = wb_req[64] ? wb_req[48] : auto_cfg_reg[18]; + assign _210_ = wb_req[64] ? wb_req[47] : auto_cfg_reg[17]; + assign _211_ = wb_req[64] ? wb_req[46] : auto_cfg_reg[16]; + assign _212_ = wb_req[63] ? wb_req[45] : auto_cfg_reg[15]; + assign _213_ = wb_req[63] ? wb_req[44] : auto_cfg_reg[14]; + assign _214_ = wb_req[63] ? wb_req[43] : auto_cfg_reg[13]; + assign _215_ = wb_req[63] ? wb_req[42] : auto_cfg_reg[12]; + assign _216_ = wb_req[63] ? wb_req[41] : auto_cfg_reg[11]; + assign _217_ = wb_req[63] ? wb_req[40] : auto_cfg_reg[10]; + assign _218_ = wb_req[63] ? wb_req[39] : auto_cfg_reg[9]; + assign _219_ = wb_req[63] ? wb_req[38] : auto_cfg_reg[8]; + assign _220_ = wb_req[62] ? wb_req[37] : auto_cfg_reg[7]; + assign _221_ = wb_req[62] ? wb_req[36] : auto_cfg_reg[6]; + assign _222_ = wb_req[62] ? wb_req[35] : auto_cfg_reg[5]; + assign _223_ = wb_req[62] ? wb_req[34] : auto_cfg_reg[4]; + assign _224_ = wb_req[62] ? wb_req[33] : auto_cfg_reg[3]; + assign _225_ = wb_req[62] ? wb_req[32] : auto_cfg_reg[2]; + assign _226_ = wb_req[62] ? wb_req[31] : auto_cfg_reg[1]; + assign _227_ = wb_req[62] ? wb_req[30] : auto_cfg_reg[0]; + assign _228_ = _230_ ? { _198_, _199_, _200_, _201_, _202_, _203_, _204_, _205_, _206_, _207_, _208_, _209_, _210_, _211_, _212_, _213_, _214_, _215_, _216_, _217_, _218_, _219_, _220_, _221_, _222_, _223_, _224_, _225_, _226_, _227_ } : _174_; + assign _229_ = _178_ ? _196_ : { _173_, ctrl_reg[7:4], _172_ }; + assign _230_ = _178_ & _197_; + always @(posedge clk) + ctrl_reg <= _229_; + always @(posedge clk) + auto_cfg_reg <= _228_; + spi_rxtx_4_1 spi_rxtx ( + .bus_idle_o(bus_idle), + .clk(clk), + .clk_div_i(cmd_clk_div), + .cmd_clks_i(d_clks), + .cmd_mode_i(cmd_mode), + .cmd_ready_o(cmd_ready), + .cmd_txd_i(d_tx), + .cmd_valid_i(cmd_valid), + .d_ack_o(d_ack), + .d_rxd_o(d_rx), + .rst(rst), + .sck(_000_), + .sdat_i(sdat_i), + .sdat_o(_001_), + .sdat_oe(_002_) + ); + assign wb_out = _039_; + assign sck = _000_; + assign cs_n = _019_; + assign sdat_o = _001_; + assign sdat_oe = _002_; +endmodule + +module spi_rxtx_4_1(clk, rst, clk_div_i, cmd_valid_i, cmd_mode_i, cmd_clks_i, cmd_txd_i, sdat_i, cmd_ready_o, d_rxd_o, d_ack_o, bus_idle_o, sck, sdat_o, sdat_oe); + wire _00_; + wire _01_; + wire _02_; + wire [31:0] _03_; + wire _04_; + wire [7:0] _05_; + wire _06_; + wire _07_; + wire [7:0] _08_; + wire _09_; + wire [7:0] _10_; + wire _11_; + wire _12_; + wire [7:0] _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire _23_; + wire _24_; + wire _25_; + wire [2:0] _26_; + wire _27_; + wire [2:0] _28_; + wire _29_; + wire _30_; + wire [2:0] _31_; + wire [2:0] _32_; + wire [2:0] _33_; + wire [2:0] _34_; + wire [2:0] _35_; + wire _36_; + wire _37_; + wire [7:0] _38_; + wire [7:0] _39_; + wire [7:0] _40_; + wire [7:0] _41_; + wire _42_; + wire _43_; + wire _44_; + wire _45_; + wire _46_; + wire _47_; + wire _48_; + wire _49_; + wire _50_; + wire _51_; + wire _52_; + wire _53_; + wire _54_; + wire _55_; + wire _56_; + wire _57_; + wire _58_; + wire _59_; + wire _60_; + wire _61_; + wire _62_; + wire _63_; + wire _64_; + wire _65_; + wire _66_; + wire _67_; + wire _68_; + wire _69_; + wire _70_; + wire _71_; + wire _72_; + wire _73_; + wire _74_; + wire _75_; + wire _76_; + wire _77_; + wire [7:0] _78_; + wire [7:0] _79_; + reg _80_ = 1'h0; + wire [7:0] _81_; + reg [2:0] bit_count; + output bus_idle_o; + input clk; + reg [7:0] clk_div; + input [7:0] clk_div_i; + input [2:0] cmd_clks_i; + reg [2:0] cmd_mode; + input [2:0] cmd_mode_i; + output cmd_ready_o; + input [7:0] cmd_txd_i; + input cmd_valid_i; + output d_ack_o; + output [7:0] d_rxd_o; + reg dat_ack_l; + reg [3:0] dat_i_l; + wire end_cmd; + reg [7:0] ireg = 8'h00; + wire next_cmd; + reg [7:0] oreg; + input rst; + output sck; + reg sck_0; + reg sck_1; + reg [7:0] \sck_gen.counter = 8'h00; + reg sck_recv; + reg sck_recv_d = 1'h0; + reg sck_send; + input [3:0] sdat_i; + output [3:0] sdat_o; + output [3:0] sdat_oe; + wire start_cmd; + reg state = 1'h0; + assign _00_ = { 24'h000000, \sck_gen.counter } == { 24'h000000, clk_div }; + assign _01_ = ~ sck_0; + assign _02_ = ~ sck_0; + assign _03_ = { 24'h000000, \sck_gen.counter } + 32'd1; + assign _04_ = _00_ ? _01_ : sck_0; + assign _05_ = _00_ ? clk_div_i : clk_div; + assign _06_ = _00_ ? sck_0 : 1'h0; + assign _07_ = _00_ ? _02_ : 1'h0; + assign _08_ = _00_ ? 8'h00 : _03_[7:0]; + assign _09_ = rst ? 1'h1 : _04_; + assign _10_ = rst ? 8'h00 : _05_; + assign _11_ = rst ? 1'h0 : _06_; + assign _12_ = rst ? 1'h0 : _07_; + assign _13_ = rst ? 8'h00 : _08_; + assign _14_ = state == 1'h1; + assign _15_ = ~ end_cmd; + assign _16_ = _14_ & _15_; + assign _17_ = next_cmd & cmd_valid_i; + assign _18_ = _16_ | _17_; + assign _19_ = _18_ ? sck_0 : 1'h1; + always @(posedge clk) + sck_0 <= _09_; + always @(posedge clk) + sck_1 <= _19_; + always @(posedge clk) + clk_div <= _10_; + always @(posedge clk) + sck_send <= _11_; + always @(posedge clk) + sck_recv <= _12_; + always @(posedge clk) + \sck_gen.counter <= _13_; + assign _20_ = bit_count == 3'h7; + assign _21_ = sck_send & _20_; + assign next_cmd = _21_ ? 1'h1 : 1'h0; + assign start_cmd = next_cmd & cmd_valid_i; + assign _22_ = ~ cmd_valid_i; + assign end_cmd = next_cmd & _22_; + assign _23_ = state == 1'h0; + assign _24_ = _23_ ? 1'h1 : 1'h0; + assign _25_ = end_cmd ? 1'h0 : state; + assign _26_ = start_cmd ? cmd_mode_i : cmd_mode; + assign _27_ = start_cmd ? 1'h1 : _25_; + assign _28_ = rst ? 3'h0 : _26_; + assign _29_ = rst ? 1'h0 : _27_; + always @(posedge clk) + cmd_mode <= _28_; + always @(posedge clk) + state <= _29_; + assign _30_ = state != 1'h1; + assign _31_ = bit_count - 3'h1; + assign _32_ = sck_recv ? _31_ : bit_count; + assign _33_ = _30_ ? 3'h7 : _32_; + assign _34_ = start_cmd ? cmd_clks_i : _33_; + assign _35_ = rst ? 3'h0 : _34_; + always @(posedge clk) + bit_count <= _35_; + assign _36_ = ~ cmd_mode[2]; + assign _37_ = cmd_mode[2:1] == 2'h2; + assign _38_ = _37_ ? { oreg[5:0], 2'h0 } : { oreg[3:0], 4'h0 }; + assign _39_ = _36_ ? { oreg[6:0], 1'h0 } : _38_; + assign _40_ = sck_send ? _39_ : oreg; + assign _41_ = start_cmd ? cmd_txd_i : _40_; + always @(posedge clk) + oreg <= _41_; + assign _42_ = state == 1'h1; + assign _43_ = cmd_mode[2:1] == 2'h3; + assign _44_ = 1'h1 & _43_; + assign _45_ = _44_ & cmd_mode[0]; + assign _46_ = _47_ ? 1'h1 : 1'h0; + assign _47_ = _42_ & _45_; + assign _48_ = state == 1'h1; + assign _49_ = cmd_mode[2:1] == 2'h3; + assign _50_ = 1'h1 & _49_; + assign _51_ = _50_ & cmd_mode[0]; + assign _52_ = _53_ ? 1'h1 : 1'h0; + assign _53_ = _48_ & _51_; + assign _54_ = state == 1'h1; + assign _55_ = cmd_mode[2:1] == 2'h2; + assign _56_ = 1'h1 & _55_; + assign _57_ = _56_ & cmd_mode[0]; + assign _58_ = _57_ ? 1'h1 : 1'h0; + assign _59_ = cmd_mode[2:1] == 2'h3; + assign _60_ = 1'h1 & _59_; + assign _61_ = _60_ & cmd_mode[0]; + assign _62_ = _61_ ? 1'h1 : _58_; + assign _63_ = _54_ ? _62_ : 1'h0; + assign _64_ = state == 1'h1; + assign _65_ = ~ cmd_mode[2]; + assign _66_ = _65_ | cmd_mode[0]; + assign _67_ = 1'h1 & _66_; + assign _68_ = _69_ ? 1'h1 : 1'h0; + assign _69_ = _64_ & _67_; + always @(negedge clk) + dat_i_l <= sdat_i; + assign _70_ = state == 1'h1; + assign _71_ = _70_ ? sck_recv : 1'h0; + assign _72_ = bit_count == 3'h0; + assign _73_ = _72_ & sck_recv; + assign _74_ = ~ cmd_mode[0]; + assign _75_ = _73_ ? _74_ : 1'h0; + assign _76_ = cmd_mode[2:1] == 2'h2; + assign _77_ = cmd_mode[2:1] == 2'h3; + assign _78_ = _77_ ? { ireg[3:0], dat_i_l } : { ireg[6:0], dat_i_l[1] }; + assign _79_ = _76_ ? { ireg[5:0], dat_i_l[1:0] } : _78_; + always @(posedge clk) + _80_ <= dat_ack_l; + always @(posedge clk) + dat_ack_l <= _75_; + always @(posedge clk) + sck_recv_d <= _71_; + assign _81_ = sck_recv_d ? _79_ : ireg; + always @(posedge clk) + ireg <= _81_; + assign cmd_ready_o = next_cmd; + assign d_rxd_o = ireg; + assign d_ack_o = _80_; + assign bus_idle_o = _24_; + assign sck = sck_1; + assign sdat_o = { oreg[4], oreg[5], oreg[6], oreg[7] }; + assign sdat_oe = { _46_, _52_, _63_, _68_ }; +endmodule + +module syscon_50000000_4096_0_0_0_d1a6c63d707d362dd5f27f0b0ee5a7d91add1255(clk, rst, wishbone_in, wishbone_out, dram_at_0, core_reset, soc_reset); + wire _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire [31:0] _12_; + reg [33:0] _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire _23_; + wire _24_; + wire [2:0] _25_; + input clk; + output core_reset; + output dram_at_0; + wire info_has_bram; + wire info_has_dram; + wire info_has_leth; + wire info_has_spif; + wire info_has_uart; + wire info_has_urt1; + reg [2:0] reg_ctrl; + wire [63:0] reg_dramiinfo; + wire [63:0] reg_draminfo; + wire [63:0] reg_out; + input rst; + output soc_reset; + wire uinfo_16550; + input [68:0] wishbone_in; + output [33:0] wishbone_out; + assign _00_ = 1'h0 ? 1'h1 : reg_ctrl[0]; + assign info_has_uart = 1'h1 ? 1'h1 : 1'h0; + assign info_has_dram = 1'h1 ? 1'h1 : 1'h0; + assign info_has_bram = 1'h1 ? 1'h1 : 1'h0; + assign info_has_spif = 1'h1 ? 1'h1 : 1'h0; + assign info_has_leth = 1'h1 ? 1'h1 : 1'h0; + assign info_has_urt1 = 1'h0 ? 1'h1 : 1'h0; + assign reg_draminfo = 1'h1 ? 64'h0000000000000000 : 64'h0000000000000000; + assign reg_dramiinfo = 1'h1 ? 64'h0000000000000000 : 64'h0000000000000000; + assign uinfo_16550 = 1'h1 ? 1'h1 : 1'h0; + assign _01_ = wishbone_in[66] & wishbone_in[67]; + assign _02_ = wishbone_in[8:3] == 6'h00; + assign _03_ = wishbone_in[8:3] == 6'h01; + assign _04_ = wishbone_in[8:3] == 6'h02; + assign _05_ = wishbone_in[8:3] == 6'h03; + assign _06_ = wishbone_in[8:3] == 6'h06; + assign _07_ = wishbone_in[8:3] == 6'h04; + assign _08_ = wishbone_in[8:3] == 6'h05; + assign _09_ = wishbone_in[8:3] == 6'h07; + assign _10_ = wishbone_in[8:3] == 6'h08; + assign _11_ = wishbone_in[8:3] == 6'h09; + function [63:0] \5122 ; + input [63:0] a; + input [639:0] b; + input [9:0] s; + (* parallel_case *) + casez (s) + 10'b?????????1: + \5122 = b[63:0]; + 10'b????????1?: + \5122 = b[127:64]; + 10'b???????1??: + \5122 = b[191:128]; + 10'b??????1???: + \5122 = b[255:192]; + 10'b?????1????: + \5122 = b[319:256]; + 10'b????1?????: + \5122 = b[383:320]; + 10'b???1??????: + \5122 = b[447:384]; + 10'b??1???????: + \5122 = b[511:448]; + 10'b?1????????: + \5122 = b[575:512]; + 10'b1?????????: + \5122 = b[639:576]; + default: + \5122 = a; + endcase + endfunction + assign reg_out = \5122 (64'h0000000000000000, { 95'h00000000817d784000000000, uinfo_16550, 157'h005f5e1000000000000000000000000000000000, reg_ctrl, 64'h0000000002faf080, reg_dramiinfo, reg_draminfo, 121'h0000000000000200000000000000000, info_has_urt1, 1'h1, info_has_leth, info_has_spif, info_has_bram, info_has_dram, info_has_uart, 64'hf00daa5500010001 }, { _11_, _10_, _09_, _08_, _07_, _06_, _05_, _04_, _03_, _02_ }); + assign _12_ = wishbone_in[2] ? reg_out[63:32] : reg_out[31:0]; + always @(posedge clk) + _13_ <= { 1'h0, _01_, _12_ }; + assign _14_ = wishbone_in[66] & wishbone_in[67]; + assign _15_ = _14_ & wishbone_in[68]; + assign _16_ = wishbone_in[8:3] == 6'h05; + assign _17_ = ~ wishbone_in[2]; + assign _18_ = _16_ & _17_; + assign _19_ = _15_ & _18_; + assign _20_ = _19_ ? wishbone_in[32] : reg_ctrl[2]; + assign _21_ = reg_ctrl[2] ? 1'h0 : _20_; + assign _22_ = _19_ ? wishbone_in[31] : reg_ctrl[1]; + assign _23_ = reg_ctrl[1] ? 1'h0 : _22_; + assign _24_ = _19_ ? wishbone_in[30] : reg_ctrl[0]; + assign _25_ = rst ? 3'h0 : { _21_, _23_, _24_ }; + always @(posedge clk) + reg_ctrl <= _25_; + assign wishbone_out = _13_; + assign dram_at_0 = _00_; + assign core_reset = reg_ctrl[1]; + assign soc_reset = reg_ctrl[2]; +endmodule + +module microwatt( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + ext_clk, ext_rst, uart0_rxd, uart1_rxd, spi_flash_sdat_i, jtag_tck, jtag_tdi, jtag_tms, jtag_trst, ib_data, ib_pty, gpio_in, alt_reset, uart0_txd, uart1_txd, spi_flash_cs_n, spi_flash_clk, spi_flash_sdat_o, spi_flash_sdat_oe, jtag_tdo, oib_clk, ob_data, ob_pty, gpio_out); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire _00_; + wire _01_; + wire _02_; + wire [3:0] _03_; + wire [3:0] _04_; + wire _05_; + wire _06_; + wire _07_; + wire [7:0] _08_; + wire _09_; + wire _10_; + wire _11_; + wire [31:0] _12_; + wire _13_; + wire _14_; + wire _15_; + wire _16_; + wire _17_; + input alt_reset; + input ext_clk; + input ext_rst; + input [31:0] gpio_in; + output [31:0] gpio_out; + input [7:0] ib_data; + input ib_pty; + input jtag_tck; + input jtag_tdi; + output jtag_tdo; + input jtag_tms; + input jtag_trst; + output [7:0] ob_data; + output ob_pty; + output oib_clk; + output spi_flash_clk; + output spi_flash_cs_n; + input [3:0] spi_flash_sdat_i; + output [3:0] spi_flash_sdat_o; + output [3:0] spi_flash_sdat_oe; + wire system_rst; + input uart0_rxd; + output uart0_txd; + input uart1_rxd; + output uart1_txd; + wire [106:0] wb_dram_out; + wire [68:0] wb_ext_io_in; + wire wb_ext_is_eth; + wire [33:0] wb_logic_analyzer_out; + wire wb_mc_ack; + wire [63:0] wb_mc_dat_i; + wire wb_mc_stall; + assign _00_ = ~ ext_rst; + assign system_rst = 1'h1 ? _00_ : ext_rst; + assign _13_ = wb_ext_io_in[66] & wb_ext_is_eth; + logic_analyzer_32_32 logic_analyzer ( + .clk(ext_clk), + .io_in(gpio_in), + .io_out(_12_), + .rst(system_rst), + .wb_in({ wb_ext_io_in[68:67], _13_, wb_ext_io_in[65:0] }), + .wb_out(wb_logic_analyzer_out) + ); + mc_32_64_8_2_6fe71f186fa9a2db88063728b6660dc449d010db mc0 ( + .clk(ext_clk), + .err(_10_), + .ib_data(ib_data), + .ib_pty(ib_pty), + .\int (_11_), + .ob_data(_08_), + .ob_pty(_09_), + .oib_clk(_07_), + .rst(system_rst), + .wb_ack(wb_mc_ack), + .wb_addr(wb_dram_out[31:0]), + .wb_cyc(wb_dram_out[104]), + .wb_err(_06_), + .wb_rd_data(wb_mc_dat_i), + .wb_sel(wb_dram_out[103:96]), + .wb_stall(wb_mc_stall), + .wb_stb(wb_dram_out[105]), + .wb_we(wb_dram_out[106]), + .wb_wr_data(wb_dram_out[95:32]) + ); + soc_4096_50000000_0_0_4_0_4_0_c832069ef22b63469d396707bc38511cc2410ddb soc0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .alt_reset(alt_reset), + .ext_irq_eth(1'h0), + .jtag_tck(jtag_tck), + .jtag_tdi(jtag_tdi), + .jtag_tdo(_05_), + .jtag_tms(jtag_tms), + .jtag_trst(jtag_trst), + .rst(system_rst), + .spi_flash_cs_n(_02_), + .spi_flash_sck(_01_), + .spi_flash_sdat_i(spi_flash_sdat_i), + .spi_flash_sdat_o(_03_), + .spi_flash_sdat_oe(_04_), + .system_clk(ext_clk), + .uart0_rxd(uart0_rxd), + .uart0_txd(_16_), + .uart1_rxd(uart1_rxd), + .uart1_txd(_17_), + .wb_dram_in(wb_dram_out), + .wb_dram_out({ wb_mc_stall, wb_mc_ack, wb_mc_dat_i }), + .wb_ext_io_in(wb_ext_io_in), + .wb_ext_io_out(wb_logic_analyzer_out), + .wb_ext_is_dram_csr(_14_), + .wb_ext_is_dram_init(_15_), + .wb_ext_is_eth(wb_ext_is_eth) + ); + assign uart0_txd = _16_; + assign uart1_txd = _17_; + assign spi_flash_cs_n = _02_; + assign spi_flash_clk = _01_; + assign spi_flash_sdat_o = _03_; + assign spi_flash_sdat_oe = _04_; + assign jtag_tdo = _05_; + assign oib_clk = _07_; + assign ob_data = _08_; + assign ob_pty = _09_; + assign gpio_out = _12_; +endmodule + +module wishbone_arbiter_3(clk, rst, wb_masters_in, wb_slave_in, wb_masters_out, wb_slave_out); + wire [1:0] _00_; + wire _01_; + wire [1:0] _02_; + wire [1:0] _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire _12_; + wire _13_; + wire _14_; + wire _15_; + wire [1:0] _16_; + wire [1:0] _17_; + wire _18_; + wire [1:0] _19_; + wire [1:0] _20_; + wire [106:0] _21_; + wire [106:0] _22_; + wire [106:0] _23_; + wire [106:0] _24_; + wire [1:0] candidate; + input clk; + input rst; + reg [1:0] selected; + input [320:0] wb_masters_in; + output [197:0] wb_masters_out; + input [65:0] wb_slave_in; + output [106:0] wb_slave_out; + assign _00_ = 2'h2 - selected; + assign _01_ = ~ _22_[104]; + assign _02_ = _01_ ? candidate : selected; + assign _03_ = 2'h2 - _02_; + assign _04_ = { 30'h00000000, _02_ } == 32'd0; + assign _05_ = _04_ ? wb_slave_in[64] : 1'h0; + assign _06_ = { 30'h00000000, _02_ } == 32'd0; + assign _07_ = _06_ ? wb_slave_in[65] : 1'h1; + assign _08_ = { 30'h00000000, _02_ } == 32'd1; + assign _09_ = _08_ ? wb_slave_in[64] : 1'h0; + assign _10_ = { 30'h00000000, _02_ } == 32'd1; + assign _11_ = _10_ ? wb_slave_in[65] : 1'h1; + assign _12_ = { 30'h00000000, _02_ } == 32'd2; + assign _13_ = _12_ ? wb_slave_in[64] : 1'h0; + assign _14_ = { 30'h00000000, _02_ } == 32'd2; + assign _15_ = _14_ ? wb_slave_in[65] : 1'h1; + assign _16_ = wb_masters_in[104] ? 2'h2 : selected; + assign _17_ = wb_masters_in[211] ? 2'h1 : _16_; + assign candidate = wb_masters_in[318] ? 2'h0 : _17_; + assign _18_ = ~ _22_[104]; + assign _19_ = _18_ ? candidate : selected; + assign _20_ = rst ? 2'h0 : _19_; + always @(posedge clk) + selected <= _20_; + assign _21_ = _00_[0] ? wb_masters_in[213:107] : wb_masters_in[106:0]; + assign _22_ = _00_[1] ? wb_masters_in[320:214] : _21_; + assign _23_ = _03_[0] ? wb_masters_in[213:107] : wb_masters_in[106:0]; + assign _24_ = _03_[1] ? wb_masters_in[320:214] : _23_; + assign wb_masters_out = { _07_, _05_, wb_slave_in[63:0], _11_, _09_, wb_slave_in[63:0], _15_, _13_, wb_slave_in[63:0] }; + assign wb_slave_out = _24_; +endmodule + +module wishbone_bram_wrapper_4096_a75adb9e07879fb6c63b494abe06e3f9a6bb2ed9( +`ifdef USE_POWER_PINS + vdda1, vdda2, vssa1, vssa2, vccd1, vccd2, vssd1, vssd2, +`endif + clk, rst, wishbone_in, wishbone_out); +`ifdef USE_POWER_PINS + inout vdda1; // User area 1 3.3V supply + inout vdda2; // User area 2 3.3V supply + inout vssa1; // User area 1 analog ground + inout vssa2; // User area 2 analog ground + inout vccd1; // User area 1 1.8V supply + inout vccd2; // User area 2 1.8v supply + inout vssd1; // User area 1 digital ground + inout vssd2; // User area 2 digital ground +`endif + wire [63:0] _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + reg ack; + reg ack_buf; + input clk; + wire ram_re; + wire ram_we; + input rst; + input [106:0] wishbone_in; + output [65:0] wishbone_out; + assign _01_ = wishbone_in[105] & wishbone_in[104]; + assign ram_we = _01_ & wishbone_in[106]; + assign _02_ = wishbone_in[105] & wishbone_in[104]; + assign _03_ = ~ wishbone_in[106]; + assign ram_re = _02_ & _03_; + assign _04_ = ~ wishbone_in[104]; + assign _05_ = rst | _04_; + assign _06_ = ~ ack; + assign _07_ = ram_we & _06_; + assign _08_ = _07_ ? ack : wishbone_in[105]; + assign _09_ = _07_ ? 1'h1 : ack; + assign _10_ = _05_ ? 1'h0 : _08_; + assign _11_ = _05_ ? 1'h0 : _09_; + always @(posedge clk) + ack <= _10_; + always @(posedge clk) + ack_buf <= _11_; + main_bram_64_10_4096_a75adb9e07879fb6c63b494abe06e3f9a6bb2ed9 ram_0 ( +`ifdef USE_POWER_PINS + .vdda1(vdda1), // User area 1 3.3V power + .vdda2(vdda2), // User area 2 3.3V power + .vssa1(vssa1), // User area 1 analog ground + .vssa2(vssa2), // User area 2 analog ground + .vccd1(vccd1), // User area 1 1.8V power + .vccd2(vccd2), // User area 2 1.8V power + .vssd1(vssd1), // User area 1 digital ground + .vssd2(vssd2), // User area 2 digital ground +`endif + .addr(wishbone_in[12:3]), + .clk(clk), + .di(wishbone_in[95:32]), + .\do (_00_), + .re(ram_re), + .sel(wishbone_in[103:96]), + .we(ram_we) + ); + assign wishbone_out = { 1'h0, ack_buf, _00_ }; +endmodule + +module wishbone_debug_master(clk, rst, dmi_addr, dmi_din, dmi_req, dmi_wr, wb_in, dmi_dout, dmi_ack, wb_out); + wire _00_; + wire _01_; + wire _02_; + wire [63:0] _03_; + wire _04_; + wire _05_; + wire _06_; + wire _07_; + wire [3:0] _08_; + wire [63:0] _09_; + wire _10_; + wire _11_; + wire _12_; + wire [10:0] _13_; + wire [63:0] _14_; + wire [10:0] _15_; + wire _16_; + wire [10:0] _17_; + wire [63:0] _18_; + wire [10:0] _19_; + wire [63:0] _20_; + wire [10:0] _21_; + wire _22_; + wire _23_; + wire _24_; + wire _25_; + wire _26_; + wire _27_; + wire _28_; + wire _29_; + wire _30_; + wire _31_; + wire [63:0] _32_; + wire _33_; + wire _34_; + wire _35_; + wire [1:0] _36_; + wire _37_; + wire _38_; + wire _39_; + wire _40_; + wire [1:0] _41_; + wire _42_; + wire _43_; + wire _44_; + wire [1:0] _45_; + wire _46_; + wire _47_; + wire [1:0] _48_; + wire _49_; + wire _50_; + wire [1:0] _51_; + wire _52_; + reg _53_; + input clk; + reg [63:0] data_latch; + output dmi_ack; + input [1:0] dmi_addr; + input [63:0] dmi_din; + output [63:0] dmi_dout; + input dmi_req; + input dmi_wr; + reg do_inc; + reg [63:0] reg_addr; + reg [10:0] reg_ctrl; + input rst; + reg [1:0] state; + input [65:0] wb_in; + output [106:0] wb_out; + assign _00_ = dmi_addr == 2'h0; + assign _01_ = dmi_addr == 2'h1; + assign _02_ = dmi_addr == 2'h2; + function [63:0] \7094 ; + input [63:0] a; + input [191:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \7094 = b[63:0]; + 3'b?1?: + \7094 = b[127:64]; + 3'b1??: + \7094 = b[191:128]; + default: + \7094 = a; + endcase + endfunction + assign _03_ = \7094 (64'h0000000000000000, { 53'h00000000000000, reg_ctrl, data_latch, reg_addr }, { _02_, _01_, _00_ }); + assign _04_ = reg_ctrl[10:9] == 2'h0; + assign _05_ = reg_ctrl[10:9] == 2'h1; + assign _06_ = reg_ctrl[10:9] == 2'h2; + assign _07_ = reg_ctrl[10:9] == 2'h3; + function [3:0] \7117 ; + input [3:0] a; + input [15:0] b; + input [3:0] s; + (* parallel_case *) + casez (s) + 4'b???1: + \7117 = b[3:0]; + 4'b??1?: + \7117 = b[7:4]; + 4'b?1??: + \7117 = b[11:8]; + 4'b1???: + \7117 = b[15:12]; + default: + \7117 = a; + endcase + endfunction + assign _08_ = \7117 (4'h8, 16'h8421, { _07_, _06_, _05_, _04_ }); + assign _09_ = reg_addr + { 60'h000000000000000, _08_ }; + assign _10_ = dmi_req & dmi_wr; + assign _11_ = dmi_addr == 2'h0; + assign _12_ = dmi_addr == 2'h2; + assign _13_ = _12_ ? dmi_din[10:0] : reg_ctrl; + assign _14_ = _16_ ? dmi_din : reg_addr; + assign _15_ = _11_ ? reg_ctrl : _13_; + assign _16_ = _10_ & _11_; + assign _17_ = _10_ ? _15_ : reg_ctrl; + assign _18_ = do_inc ? _09_ : _14_; + assign _19_ = do_inc ? reg_ctrl : _17_; + assign _20_ = rst ? 64'h0000000000000000 : _18_; + assign _21_ = rst ? 11'h000 : _19_; + always @(posedge clk) + reg_addr <= _20_; + always @(posedge clk) + reg_ctrl <= _21_; + assign _22_ = dmi_addr != 2'h1; + assign _23_ = state == 2'h2; + assign _24_ = _22_ | _23_; + assign _25_ = _24_ ? dmi_req : 1'h0; + assign _26_ = state == 2'h1; + assign _27_ = _26_ ? 1'h1 : 1'h0; + assign _28_ = state == 2'h1; + assign _29_ = _28_ & wb_in[64]; + assign _30_ = ~ dmi_wr; + assign _31_ = _29_ & _30_; + assign _32_ = _31_ ? wb_in[63:0] : data_latch; + always @(posedge clk) + data_latch <= _32_; + assign _33_ = dmi_addr == 2'h1; + assign _34_ = dmi_req & _33_; + assign _35_ = _34_ ? 1'h1 : _53_; + assign _36_ = _34_ ? 2'h1 : state; + assign _37_ = state == 2'h0; + assign _38_ = ~ wb_in[65]; + assign _39_ = _38_ ? 1'h0 : _53_; + assign _40_ = wb_in[64] ? 1'h0 : _39_; + assign _41_ = wb_in[64] ? 2'h2 : state; + assign _42_ = wb_in[64] ? reg_ctrl[8] : do_inc; + assign _43_ = state == 2'h1; + assign _44_ = ~ dmi_req; + assign _45_ = _44_ ? 2'h0 : state; + assign _46_ = state == 2'h2; + function [0:0] \7206 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \7206 = b[0:0]; + 3'b?1?: + \7206 = b[1:1]; + 3'b1??: + \7206 = b[2:2]; + default: + \7206 = a; + endcase + endfunction + assign _47_ = \7206 (1'hx, { _53_, _40_, _35_ }, { _46_, _43_, _37_ }); + function [1:0] \7208 ; + input [1:0] a; + input [5:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \7208 = b[1:0]; + 3'b?1?: + \7208 = b[3:2]; + 3'b1??: + \7208 = b[5:4]; + default: + \7208 = a; + endcase + endfunction + assign _48_ = \7208 (2'hx, { _45_, _41_, _36_ }, { _46_, _43_, _37_ }); + function [0:0] \7211 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \7211 = b[0:0]; + 3'b?1?: + \7211 = b[1:1]; + 3'b1??: + \7211 = b[2:2]; + default: + \7211 = a; + endcase + endfunction + assign _49_ = \7211 (1'hx, { 1'h0, _42_, do_inc }, { _46_, _43_, _37_ }); + assign _50_ = rst ? 1'h0 : _47_; + assign _51_ = rst ? 2'h0 : _48_; + assign _52_ = rst ? 1'h0 : _49_; + always @(posedge clk) + _53_ <= _50_; + always @(posedge clk) + state <= _51_; + always @(posedge clk) + do_inc <= _52_; + assign dmi_dout = _03_; + assign dmi_ack = _25_; + assign wb_out = { dmi_wr, _53_, _27_, reg_ctrl[7:0], dmi_din, reg_addr[31:0] }; +endmodule + +module writeback(clk, e_in, l_in, fp_in, w_out, c_out, complete_out); + wire _00_; + wire _01_; + wire _02_; + wire [71:0] _03_; + wire [5:0] _04_; + wire [71:0] _05_; + wire [71:0] _06_; + wire [8:0] _07_; + wire [8:0] _08_; + wire [8:0] _09_; + wire [3:0] _10_; + wire [3:0] _11_; + wire [3:0] _12_; + wire [27:0] _13_; + wire [27:0] _14_; + wire _15_; + wire _16_; + wire _17_; + wire _18_; + wire _19_; + wire _20_; + wire _21_; + wire _22_; + wire _23_; + wire _24_; + wire _25_; + wire _26_; + wire [8:0] _27_; + wire [3:0] _28_; + wire [71:0] _29_; + wire [46:0] _30_; + output [46:0] c_out; + input clk; + output complete_out; + input [193:0] e_in; + input [113:0] fp_in; + input [79:0] l_in; + output [71:0] w_out; + assign _00_ = e_in[0] | l_in[0]; + assign _01_ = _00_ | fp_in[0]; + assign _02_ = _01_ ? 1'h1 : 1'h0; + assign _03_ = e_in[3] ? { 1'h1, e_in[74:4] } : 72'h000000000000000000; + assign _04_ = e_in[116] ? { e_in[121:117], 1'h1 } : 6'h00; + assign _05_ = fp_in[1] ? { 1'h1, fp_in[72:2] } : _03_; + assign _06_ = l_in[1] ? { 1'h1, l_in[72:2] } : _05_; + assign _07_ = e_in[75] ? { e_in[83:76], 1'h1 } : 9'h000; + assign _08_ = fp_in[73] ? { fp_in[81:74], 1'h1 } : _07_; + assign _09_ = l_in[78] ? 9'h101 : _08_; + assign _10_ = e_in[75] ? e_in[115:112] : 4'h0; + assign _11_ = fp_in[73] ? fp_in[113:110] : _10_; + assign _12_ = l_in[78] ? { 2'h0, l_in[79], l_in[77] } : _11_; + assign _13_ = e_in[75] ? e_in[111:84] : 28'h0000000; + assign _14_ = fp_in[73] ? fp_in[109:82] : _13_; + assign _15_ = e_in[1] & e_in[3]; + assign _16_ = | e_in[42:11]; + assign _17_ = ~ _16_; + assign _18_ = ~ e_in[2]; + assign _19_ = | e_in[74:43]; + assign _20_ = ~ _19_; + assign _21_ = _17_ & _20_; + assign _22_ = _18_ ? _21_ : _17_; + assign _23_ = _18_ ? e_in[74] : e_in[42]; + assign _24_ = ~ _23_; + assign _25_ = ~ _22_; + assign _26_ = _24_ & _25_; + assign _27_ = _15_ ? 9'h101 : _09_; + assign _28_ = _15_ ? { _23_, _26_, _22_, e_in[121] } : _12_; + assign _29_ = e_in[122] ? { 1'h1, e_in[193:123] } : _06_; + assign _30_ = e_in[122] ? 47'h000000000000 : { _04_, _28_, _14_, _27_ }; + assign w_out = _29_; + assign c_out = _30_; + assign complete_out = _02_; +endmodule + +module xics_icp(clk, rst, wb_in, ics_in, wb_out, core_irq_out); + reg _00_; + wire _01_; + wire _02_; + wire _03_; + wire _04_; + wire [7:0] _05_; + wire [7:0] _06_; + wire _07_; + wire _08_; + wire _09_; + wire _10_; + wire _11_; + wire _12_; + wire [23:0] _13_; + wire [7:0] _14_; + wire _15_; + wire [31:0] _16_; + wire _17_; + wire _18_; + wire _19_; + wire [31:0] _20_; + wire _21_; + wire [23:0] _22_; + wire [7:0] _23_; + wire _24_; + wire [23:0] _25_; + wire [7:0] _26_; + wire [7:0] _27_; + wire [7:0] _28_; + wire [7:0] _29_; + wire _30_; + wire _31_; + input clk; + output core_irq_out; + input [11:0] ics_in; + reg [73:0] r; + wire [73:0] r_next; + input rst; + input [68:0] wb_in; + output [33:0] wb_out; + always @(posedge clk) + _00_ <= r[40]; + always @(posedge clk) + r <= r_next; + assign _01_ = wb_in[66] & wb_in[67]; + assign _02_ = wb_in[7:0] == 8'h00; + assign _03_ = wb_in[7:0] == 8'h04; + assign _04_ = wb_in[7:0] == 8'h0c; + function [7:0] \6110 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \6110 = b[7:0]; + 3'b?1?: + \6110 = b[15:8]; + 3'b1??: + \6110 = b[23:16]; + default: + \6110 = a; + endcase + endfunction + assign _05_ = \6110 (r[31:24], { r[31:24], wb_in[37:30], wb_in[37:30] }, { _04_, _03_, _02_ }); + function [7:0] \6112 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \6112 = b[7:0]; + 3'b?1?: + \6112 = b[15:8]; + 3'b1??: + \6112 = b[23:16]; + default: + \6112 = a; + endcase + endfunction + assign _06_ = \6112 (r[39:32], { wb_in[37:30], r[39:32], r[39:32] }, { _04_, _03_, _02_ }); + assign _07_ = wb_in[7:0] == 8'h00; + assign _08_ = wb_in[65:62] == 4'hf; + assign _09_ = _08_ ? 1'h1 : 1'h0; + assign _10_ = wb_in[7:0] == 8'h04; + assign _11_ = wb_in[7:0] == 8'h0c; + function [0:0] \6135 ; + input [0:0] a; + input [2:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \6135 = b[0:0]; + 3'b?1?: + \6135 = b[1:1]; + 3'b1??: + \6135 = b[2:2]; + default: + \6135 = a; + endcase + endfunction + assign _12_ = \6135 (1'h0, { 1'h0, _09_, 1'h0 }, { _11_, _10_, _07_ }); + function [23:0] \6139 ; + input [23:0] a; + input [71:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \6139 = b[23:0]; + 3'b?1?: + \6139 = b[47:24]; + 3'b1??: + \6139 = b[71:48]; + default: + \6139 = a; + endcase + endfunction + assign _13_ = \6139 (24'h000000, { 24'h000000, r[23:0], r[23:0] }, { _11_, _10_, _07_ }); + function [7:0] \6143 ; + input [7:0] a; + input [23:0] b; + input [2:0] s; + (* parallel_case *) + casez (s) + 3'b??1: + \6143 = b[7:0]; + 3'b?1?: + \6143 = b[15:8]; + 3'b1??: + \6143 = b[23:16]; + default: + \6143 = a; + endcase + endfunction + assign _14_ = \6143 (8'h00, { r[39:24], r[31:24] }, { _11_, _10_, _07_ }); + assign _15_ = wb_in[68] ? 1'h0 : _12_; + assign _16_ = wb_in[68] ? 32'd0 : { _14_, _13_ }; + assign _17_ = _01_ & wb_in[68]; + assign _18_ = _01_ ? 1'h1 : 1'h0; + assign _19_ = _01_ ? _15_ : 1'h0; + assign _20_ = _01_ ? _16_ : 32'd0; + assign _21_ = ics_in[11:4] != 8'hff; + assign _22_ = _21_ ? { 20'h00001, ics_in[3:0] } : 24'h000000; + assign _23_ = _21_ ? ics_in[11:4] : 8'hff; + assign _24_ = r[39:32] < _23_; + assign _25_ = _24_ ? 24'h000002 : _22_; + assign _26_ = _24_ ? r[39:32] : _23_; + assign _27_ = _17_ ? _05_ : r[31:24]; + assign _28_ = _19_ ? _26_ : _27_; + assign _29_ = _17_ ? _06_ : r[39:32]; + assign _30_ = _26_ < _28_; + assign _31_ = _30_ ? 1'h1 : 1'h0; + assign r_next = rst ? 74'h000000000ff00000000 : { _18_, _20_[7:0], _20_[15:8], _20_[23:16], _20_[31:24], _31_, _29_, _28_, _25_ }; + assign wb_out = { 1'h0, r[73:41] }; + assign core_irq_out = _00_; +endmodule + +module xics_ics_16_3(clk, rst, wb_in, int_level_in, wb_out, icp_out); + wire _000_; + wire _001_; + wire [3:0] _002_; + wire _003_; + wire [7:0] _004_; + wire [31:0] _005_; + wire [31:0] _006_; + wire [31:0] _007_; + reg [32:0] _008_; + wire _009_; + wire [3:0] _010_; + wire [47:0] _011_; + wire _012_; + wire [47:0] _013_; + reg [11:0] _014_; + wire _015_; + wire _016_; + wire [2:0] _017_; + wire _018_; + wire _019_; + wire [3:0] _020_; + wire [2:0] _021_; + wire _022_; + wire _023_; + wire [3:0] _024_; + wire [2:0] _025_; + wire _026_; + wire _027_; + wire [3:0] _028_; + wire [2:0] _029_; + wire _030_; + wire _031_; + wire [3:0] _032_; + wire [2:0] _033_; + wire _034_; + wire _035_; + wire [3:0] _036_; + wire [2:0] _037_; + wire _038_; + wire _039_; + wire [3:0] _040_; + wire [2:0] _041_; + wire _042_; + wire _043_; + wire [3:0] _044_; + wire [2:0] _045_; + wire _046_; + wire _047_; + wire [3:0] _048_; + wire [2:0] _049_; + wire _050_; + wire _051_; + wire [3:0] _052_; + wire [2:0] _053_; + wire _054_; + wire _055_; + wire [3:0] _056_; + wire [2:0] _057_; + wire _058_; + wire _059_; + wire [3:0] _060_; + wire [2:0] _061_; + wire _062_; + wire _063_; + wire [3:0] _064_; + wire [2:0] _065_; + wire _066_; + wire _067_; + wire [3:0] _068_; + wire [2:0] _069_; + wire _070_; + wire _071_; + wire [3:0] _072_; + wire [2:0] _073_; + wire _074_; + wire _075_; + wire [3:0] _076_; + wire [2:0] _077_; + wire _078_; + wire [7:0] _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire [2:0] _090_; + wire [2:0] _091_; + wire [2:0] _092_; + wire [2:0] _093_; + wire [2:0] _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire _103_; + wire _104_; + wire _105_; + wire _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire [2:0] _127_; + wire [2:0] _128_; + wire [2:0] _129_; + wire [2:0] _130_; + wire [2:0] _131_; + wire [2:0] _132_; + wire [2:0] _133_; + wire [2:0] _134_; + wire [2:0] _135_; + wire [2:0] _136_; + wire [2:0] _137_; + wire [2:0] _138_; + wire [2:0] _139_; + wire [2:0] _140_; + wire [2:0] _141_; + wire [2:0] _142_; + wire _143_; + wire _144_; + wire _145_; + wire _146_; + wire _147_; + wire _148_; + wire _149_; + wire _150_; + wire _151_; + wire _152_; + wire [2:0] _153_; + wire [2:0] _154_; + wire [2:0] _155_; + wire [2:0] _156_; + wire [2:0] _157_; + wire _158_; + wire _159_; + wire _160_; + wire _161_; + wire _162_; + wire _163_; + wire _164_; + wire _165_; + wire _166_; + wire _167_; + wire [2:0] _168_; + wire [2:0] _169_; + wire [2:0] _170_; + wire [2:0] _171_; + wire [2:0] _172_; + input clk; + output [11:0] icp_out; + input [15:0] int_level_in; + reg [15:0] int_level_l; + wire reg_is_config; + wire reg_is_debug; + input rst; + input [68:0] wb_in; + output [33:0] wb_out; + wire wb_valid; + reg [47:0] xives; + assign _143_ = wb_in[2] ? int_level_l[1] : int_level_l[0]; + assign _144_ = wb_in[2] ? int_level_l[5] : int_level_l[4]; + assign _145_ = wb_in[2] ? int_level_l[9] : int_level_l[8]; + assign _146_ = wb_in[2] ? int_level_l[13] : int_level_l[12]; + assign _147_ = wb_in[4] ? _081_ : _080_; + assign _148_ = wb_in[2] ? int_level_l[1] : int_level_l[0]; + assign _149_ = wb_in[2] ? int_level_l[5] : int_level_l[4]; + assign _150_ = wb_in[2] ? int_level_l[9] : int_level_l[8]; + assign _151_ = wb_in[2] ? int_level_l[13] : int_level_l[12]; + assign _152_ = wb_in[4] ? _086_ : _085_; + assign _153_ = _002_[0] ? xives[5:3] : xives[2:0]; + assign _154_ = _002_[0] ? xives[17:15] : xives[14:12]; + assign _155_ = _002_[0] ? xives[29:27] : xives[26:24]; + assign _156_ = _002_[0] ? xives[41:39] : xives[38:36]; + assign _157_ = _002_[2] ? _091_ : _090_; + assign _158_ = wb_in[2] ? int_level_l[3] : int_level_l[2]; + assign _159_ = wb_in[2] ? int_level_l[7] : int_level_l[6]; + assign _160_ = wb_in[2] ? int_level_l[11] : int_level_l[10]; + assign _161_ = wb_in[2] ? int_level_l[15] : int_level_l[14]; + assign _162_ = wb_in[4] ? _083_ : _082_; + assign _163_ = wb_in[2] ? int_level_l[3] : int_level_l[2]; + assign _164_ = wb_in[2] ? int_level_l[7] : int_level_l[6]; + assign _165_ = wb_in[2] ? int_level_l[11] : int_level_l[10]; + assign _166_ = wb_in[2] ? int_level_l[15] : int_level_l[14]; + assign _167_ = wb_in[4] ? _088_ : _087_; + assign _168_ = _002_[0] ? xives[11:9] : xives[8:6]; + assign _169_ = _002_[0] ? xives[23:21] : xives[20:18]; + assign _170_ = _002_[0] ? xives[35:33] : xives[32:30]; + assign _171_ = _002_[0] ? xives[47:45] : xives[44:42]; + assign _172_ = _002_[2] ? _093_ : _092_; + assign _080_ = wb_in[3] ? _158_ : _143_; + assign _081_ = wb_in[3] ? _159_ : _144_; + assign _082_ = wb_in[3] ? _160_ : _145_; + assign _083_ = wb_in[3] ? _161_ : _146_; + assign _084_ = wb_in[5] ? _162_ : _147_; + assign _085_ = wb_in[3] ? _163_ : _148_; + assign _086_ = wb_in[3] ? _164_ : _149_; + assign _087_ = wb_in[3] ? _165_ : _150_; + assign _088_ = wb_in[3] ? _166_ : _151_; + assign _089_ = wb_in[5] ? _167_ : _152_; + assign _090_ = _002_[1] ? _168_ : _153_; + assign _091_ = _002_[1] ? _169_ : _154_; + assign _092_ = _002_[1] ? _170_ : _155_; + assign _093_ = _002_[1] ? _171_ : _156_; + assign _094_ = _002_[3] ? _172_ : _157_; + assign _000_ = wb_in[11:0] == 12'h000; + assign reg_is_config = _000_ ? 1'h1 : 1'h0; + assign _001_ = wb_in[11:0] == 12'h004; + assign reg_is_debug = _001_ ? 1'h1 : 1'h0; + always @(posedge clk) + int_level_l <= int_level_in; + assign wb_valid = wb_in[66] & wb_in[67]; + assign _002_ = 4'hf - wb_in[5:2]; + assign _003_ = _094_ == 3'h7; + assign _004_ = _003_ ? 8'hff : { 5'h00, _094_ }; + assign _005_ = reg_is_debug ? { 20'h00000, _076_, _079_ } : 32'd0; + assign _006_ = reg_is_config ? 32'd50331664 : _005_; + assign _007_ = wb_in[11] ? { _084_, 1'h0, _089_, 21'h000000, _004_ } : _006_; + always @(posedge clk) + _008_ <= { wb_valid, _007_[7:0], _007_[15:8], _007_[23:16], _007_[31:24] }; + assign _009_ = wb_valid & wb_in[68]; + assign _010_ = 4'hf - wb_in[5:2]; + assign _011_ = _012_ ? { _142_, _141_, _140_, _139_, _138_, _137_, _136_, _135_, _134_, _133_, _132_, _131_, _130_, _129_, _128_, _127_ } : xives; + assign _012_ = _009_ & wb_in[11]; + assign _013_ = rst ? 48'hffffffffffff : _011_; + always @(posedge clk) + xives <= _013_; + always @(posedge clk) + _014_ <= { _079_, _076_ }; + assign _015_ = xives[47:45] < 3'h7; + assign _016_ = int_level_l[0] & _015_; + assign _017_ = _016_ ? xives[47:45] : 3'h7; + assign _018_ = xives[44:42] < _017_; + assign _019_ = int_level_l[1] & _018_; + assign _020_ = _019_ ? 4'h1 : 4'h0; + assign _021_ = _019_ ? xives[44:42] : _017_; + assign _022_ = xives[41:39] < _021_; + assign _023_ = int_level_l[2] & _022_; + assign _024_ = _023_ ? 4'h2 : _020_; + assign _025_ = _023_ ? xives[41:39] : _021_; + assign _026_ = xives[38:36] < _025_; + assign _027_ = int_level_l[3] & _026_; + assign _028_ = _027_ ? 4'h3 : _024_; + assign _029_ = _027_ ? xives[38:36] : _025_; + assign _030_ = xives[35:33] < _029_; + assign _031_ = int_level_l[4] & _030_; + assign _032_ = _031_ ? 4'h4 : _028_; + assign _033_ = _031_ ? xives[35:33] : _029_; + assign _034_ = xives[32:30] < _033_; + assign _035_ = int_level_l[5] & _034_; + assign _036_ = _035_ ? 4'h5 : _032_; + assign _037_ = _035_ ? xives[32:30] : _033_; + assign _038_ = xives[29:27] < _037_; + assign _039_ = int_level_l[6] & _038_; + assign _040_ = _039_ ? 4'h6 : _036_; + assign _041_ = _039_ ? xives[29:27] : _037_; + assign _042_ = xives[26:24] < _041_; + assign _043_ = int_level_l[7] & _042_; + assign _044_ = _043_ ? 4'h7 : _040_; + assign _045_ = _043_ ? xives[26:24] : _041_; + assign _046_ = xives[23:21] < _045_; + assign _047_ = int_level_l[8] & _046_; + assign _048_ = _047_ ? 4'h8 : _044_; + assign _049_ = _047_ ? xives[23:21] : _045_; + assign _050_ = xives[20:18] < _049_; + assign _051_ = int_level_l[9] & _050_; + assign _052_ = _051_ ? 4'h9 : _048_; + assign _053_ = _051_ ? xives[20:18] : _049_; + assign _054_ = xives[17:15] < _053_; + assign _055_ = int_level_l[10] & _054_; + assign _056_ = _055_ ? 4'ha : _052_; + assign _057_ = _055_ ? xives[17:15] : _053_; + assign _058_ = xives[14:12] < _057_; + assign _059_ = int_level_l[11] & _058_; + assign _060_ = _059_ ? 4'hb : _056_; + assign _061_ = _059_ ? xives[14:12] : _057_; + assign _062_ = xives[11:9] < _061_; + assign _063_ = int_level_l[12] & _062_; + assign _064_ = _063_ ? 4'hc : _060_; + assign _065_ = _063_ ? xives[11:9] : _061_; + assign _066_ = xives[8:6] < _065_; + assign _067_ = int_level_l[13] & _066_; + assign _068_ = _067_ ? 4'hd : _064_; + assign _069_ = _067_ ? xives[8:6] : _065_; + assign _070_ = xives[5:3] < _069_; + assign _071_ = int_level_l[14] & _070_; + assign _072_ = _071_ ? 4'he : _068_; + assign _073_ = _071_ ? xives[5:3] : _069_; + assign _074_ = xives[2:0] < _073_; + assign _075_ = int_level_l[15] & _074_; + assign _076_ = _075_ ? 4'hf : _072_; + assign _077_ = _075_ ? xives[2:0] : _073_; + assign _078_ = _077_ == 3'h7; + assign _079_ = _078_ ? 8'hff : { 5'h00, _077_ }; + assign _095_ = ~ _010_[3]; + assign _096_ = ~ _010_[2]; + assign _097_ = _095_ & _096_; + assign _098_ = _095_ & _010_[2]; + assign _099_ = _010_[3] & _096_; + assign _100_ = _010_[3] & _010_[2]; + assign _101_ = ~ _010_[1]; + assign _102_ = _097_ & _101_; + assign _103_ = _097_ & _010_[1]; + assign _104_ = _098_ & _101_; + assign _105_ = _098_ & _010_[1]; + assign _106_ = _099_ & _101_; + assign _107_ = _099_ & _010_[1]; + assign _108_ = _100_ & _101_; + assign _109_ = _100_ & _010_[1]; + assign _110_ = ~ _010_[0]; + assign _111_ = _102_ & _110_; + assign _112_ = _102_ & _010_[0]; + assign _113_ = _103_ & _110_; + assign _114_ = _103_ & _010_[0]; + assign _115_ = _104_ & _110_; + assign _116_ = _104_ & _010_[0]; + assign _117_ = _105_ & _110_; + assign _118_ = _105_ & _010_[0]; + assign _119_ = _106_ & _110_; + assign _120_ = _106_ & _010_[0]; + assign _121_ = _107_ & _110_; + assign _122_ = _107_ & _010_[0]; + assign _123_ = _108_ & _110_; + assign _124_ = _108_ & _010_[0]; + assign _125_ = _109_ & _110_; + assign _126_ = _109_ & _010_[0]; + assign _127_ = _111_ ? wb_in[56:54] : xives[2:0]; + assign _128_ = _112_ ? wb_in[56:54] : xives[5:3]; + assign _129_ = _113_ ? wb_in[56:54] : xives[8:6]; + assign _130_ = _114_ ? wb_in[56:54] : xives[11:9]; + assign _131_ = _115_ ? wb_in[56:54] : xives[14:12]; + assign _132_ = _116_ ? wb_in[56:54] : xives[17:15]; + assign _133_ = _117_ ? wb_in[56:54] : xives[20:18]; + assign _134_ = _118_ ? wb_in[56:54] : xives[23:21]; + assign _135_ = _119_ ? wb_in[56:54] : xives[26:24]; + assign _136_ = _120_ ? wb_in[56:54] : xives[29:27]; + assign _137_ = _121_ ? wb_in[56:54] : xives[32:30]; + assign _138_ = _122_ ? wb_in[56:54] : xives[35:33]; + assign _139_ = _123_ ? wb_in[56:54] : xives[38:36]; + assign _140_ = _124_ ? wb_in[56:54] : xives[41:39]; + assign _141_ = _125_ ? wb_in[56:54] : xives[44:42]; + assign _142_ = _126_ ? wb_in[56:54] : xives[47:45]; + assign wb_out = { 1'h0, _008_ }; + assign icp_out = _014_; +endmodule + +module zero_counter(clk, rs, count_right, is_32bit, result); + wire _000_; + wire _001_; + wire [63:0] _002_; + wire _003_; + wire [31:0] _004_; + wire [63:0] _005_; + wire _006_; + wire _007_; + wire _008_; + wire _009_; + wire _010_; + wire _011_; + wire _012_; + wire _013_; + wire _014_; + wire _015_; + wire _016_; + wire _017_; + wire _018_; + wire _019_; + wire _020_; + wire _021_; + wire _022_; + wire _023_; + wire _024_; + wire _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire _103_; + wire _104_; + wire _105_; + wire _106_; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire _127_; + wire _128_; + wire _129_; + wire _130_; + wire _131_; + input clk; + input count_right; + wire [63:0] inp; + input is_32bit; + reg msb_r; + wire [63:0] onehot; + reg [63:0] onehot_r; + output [63:0] result; + input [63:0] rs; + wire [64:0] sum; + always @(posedge clk) + msb_r <= sum[64]; + always @(posedge clk) + onehot_r <= onehot; + assign _000_ = ~ is_32bit; + assign _001_ = ~ count_right; + assign _002_ = _001_ ? { rs[0], rs[1], rs[2], rs[3], rs[4], rs[5], rs[6], rs[7], rs[8], rs[9], rs[10], rs[11], rs[12], rs[13], rs[14], rs[15], rs[16], rs[17], rs[18], rs[19], rs[20], rs[21], rs[22], rs[23], rs[24], rs[25], rs[26], rs[27], rs[28], rs[29], rs[30], rs[31], rs[32], rs[33], rs[34], rs[35], rs[36], rs[37], rs[38], rs[39], rs[40], rs[41], rs[42], rs[43], rs[44], rs[45], rs[46], rs[47], rs[48], rs[49], rs[50], rs[51], rs[52], rs[53], rs[54], rs[55], rs[56], rs[57], rs[58], rs[59], rs[60], rs[61], rs[62], rs[63] } : rs; + assign _003_ = ~ count_right; + assign _004_ = _003_ ? { rs[0], rs[1], rs[2], rs[3], rs[4], rs[5], rs[6], rs[7], rs[8], rs[9], rs[10], rs[11], rs[12], rs[13], rs[14], rs[15], rs[16], rs[17], rs[18], rs[19], rs[20], rs[21], rs[22], rs[23], rs[24], rs[25], rs[26], rs[27], rs[28], rs[29], rs[30], rs[31] } : rs[31:0]; + assign inp = _000_ ? _002_ : { 32'hffffffff, _004_ }; + assign _005_ = ~ inp; + assign sum = { 1'h0, _005_ } + 65'h00000000000000001; + assign onehot = sum[63:0] & inp; + assign _006_ = | onehot_r[1]; + assign _007_ = 1'h0 | _006_; + assign _008_ = | onehot_r[3]; + assign _009_ = _007_ | _008_; + assign _010_ = | onehot_r[5]; + assign _011_ = _009_ | _010_; + assign _012_ = | onehot_r[7]; + assign _013_ = _011_ | _012_; + assign _014_ = | onehot_r[9]; + assign _015_ = _013_ | _014_; + assign _016_ = | onehot_r[11]; + assign _017_ = _015_ | _016_; + assign _018_ = | onehot_r[13]; + assign _019_ = _017_ | _018_; + assign _020_ = | onehot_r[15]; + assign _021_ = _019_ | _020_; + assign _022_ = | onehot_r[17]; + assign _023_ = _021_ | _022_; + assign _024_ = | onehot_r[19]; + assign _025_ = _023_ | _024_; + assign _026_ = | onehot_r[21]; + assign _027_ = _025_ | _026_; + assign _028_ = | onehot_r[23]; + assign _029_ = _027_ | _028_; + assign _030_ = | onehot_r[25]; + assign _031_ = _029_ | _030_; + assign _032_ = | onehot_r[27]; + assign _033_ = _031_ | _032_; + assign _034_ = | onehot_r[29]; + assign _035_ = _033_ | _034_; + assign _036_ = | onehot_r[31]; + assign _037_ = _035_ | _036_; + assign _038_ = | onehot_r[33]; + assign _039_ = _037_ | _038_; + assign _040_ = | onehot_r[35]; + assign _041_ = _039_ | _040_; + assign _042_ = | onehot_r[37]; + assign _043_ = _041_ | _042_; + assign _044_ = | onehot_r[39]; + assign _045_ = _043_ | _044_; + assign _046_ = | onehot_r[41]; + assign _047_ = _045_ | _046_; + assign _048_ = | onehot_r[43]; + assign _049_ = _047_ | _048_; + assign _050_ = | onehot_r[45]; + assign _051_ = _049_ | _050_; + assign _052_ = | onehot_r[47]; + assign _053_ = _051_ | _052_; + assign _054_ = | onehot_r[49]; + assign _055_ = _053_ | _054_; + assign _056_ = | onehot_r[51]; + assign _057_ = _055_ | _056_; + assign _058_ = | onehot_r[53]; + assign _059_ = _057_ | _058_; + assign _060_ = | onehot_r[55]; + assign _061_ = _059_ | _060_; + assign _062_ = | onehot_r[57]; + assign _063_ = _061_ | _062_; + assign _064_ = | onehot_r[59]; + assign _065_ = _063_ | _064_; + assign _066_ = | onehot_r[61]; + assign _067_ = _065_ | _066_; + assign _068_ = | onehot_r[63]; + assign _069_ = _067_ | _068_; + assign _070_ = | onehot_r[3:2]; + assign _071_ = 1'h0 | _070_; + assign _072_ = | onehot_r[7:6]; + assign _073_ = _071_ | _072_; + assign _074_ = | onehot_r[11:10]; + assign _075_ = _073_ | _074_; + assign _076_ = | onehot_r[15:14]; + assign _077_ = _075_ | _076_; + assign _078_ = | onehot_r[19:18]; + assign _079_ = _077_ | _078_; + assign _080_ = | onehot_r[23:22]; + assign _081_ = _079_ | _080_; + assign _082_ = | onehot_r[27:26]; + assign _083_ = _081_ | _082_; + assign _084_ = | onehot_r[31:30]; + assign _085_ = _083_ | _084_; + assign _086_ = | onehot_r[35:34]; + assign _087_ = _085_ | _086_; + assign _088_ = | onehot_r[39:38]; + assign _089_ = _087_ | _088_; + assign _090_ = | onehot_r[43:42]; + assign _091_ = _089_ | _090_; + assign _092_ = | onehot_r[47:46]; + assign _093_ = _091_ | _092_; + assign _094_ = | onehot_r[51:50]; + assign _095_ = _093_ | _094_; + assign _096_ = | onehot_r[55:54]; + assign _097_ = _095_ | _096_; + assign _098_ = | onehot_r[59:58]; + assign _099_ = _097_ | _098_; + assign _100_ = | onehot_r[63:62]; + assign _101_ = _099_ | _100_; + assign _102_ = | onehot_r[7:4]; + assign _103_ = 1'h0 | _102_; + assign _104_ = | onehot_r[15:12]; + assign _105_ = _103_ | _104_; + assign _106_ = | onehot_r[23:20]; + assign _107_ = _105_ | _106_; + assign _108_ = | onehot_r[31:28]; + assign _109_ = _107_ | _108_; + assign _110_ = | onehot_r[39:36]; + assign _111_ = _109_ | _110_; + assign _112_ = | onehot_r[47:44]; + assign _113_ = _111_ | _112_; + assign _114_ = | onehot_r[55:52]; + assign _115_ = _113_ | _114_; + assign _116_ = | onehot_r[63:60]; + assign _117_ = _115_ | _116_; + assign _118_ = | onehot_r[15:8]; + assign _119_ = 1'h0 | _118_; + assign _120_ = | onehot_r[31:24]; + assign _121_ = _119_ | _120_; + assign _122_ = | onehot_r[47:40]; + assign _123_ = _121_ | _122_; + assign _124_ = | onehot_r[63:56]; + assign _125_ = _123_ | _124_; + assign _126_ = | onehot_r[31:16]; + assign _127_ = 1'h0 | _126_; + assign _128_ = | onehot_r[63:48]; + assign _129_ = _127_ | _128_; + assign _130_ = | onehot_r[63:32]; + assign _131_ = 1'h0 | _130_; + assign result = { 57'h000000000000000, msb_r, _131_, _129_, _125_, _117_, _101_, _069_ }; +endmodule