Reformat sim_console

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
jtag-port
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 28e6d343dc
commit fd9e971b2c

@ -2,29 +2,29 @@ library ieee;
use ieee.std_logic_1164.all; use ieee.std_logic_1164.all;


package sim_console is package sim_console is
procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)); procedure sim_console_read (val: out std_ulogic_vector(63 downto 0));
attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read"; attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read";


procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)); procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0));
attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll"; attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll";


procedure sim_console_write (val: std_ulogic_vector(63 downto 0)); procedure sim_console_write (val: std_ulogic_vector(63 downto 0));
attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write"; attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write";
end sim_console; end sim_console;


package body sim_console is package body sim_console is
procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is
begin begin
assert false report "VHPI" severity failure; assert false report "VHPI" severity failure;
end sim_console_read; end sim_console_read;


procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is
begin begin
assert false report "VHPI" severity failure; assert false report "VHPI" severity failure;
end sim_console_poll; end sim_console_poll;


procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is
begin begin
assert false report "VHPI" severity failure; assert false report "VHPI" severity failure;
end sim_console_write; end sim_console_write;
end sim_console; end sim_console;

Loading…
Cancel
Save