You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

10902 lines
1.1 MiB
Plaintext

2 years ago
make -f Makefile.st results.xml
make[1]: Entering directory '/data/projects/a2o/dev/sim/coco'
MODULE=tb TESTCASE= TOPLEVEL=cocotb_icarus TOPLEVEL_LANG=verilog \
/usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_st/sim.vvp
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered
0.00ns INFO Running on Icarus Verilog version 12.0 (devel)
0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb
0.00ns INFO Seeding Python random module with 1658264900
0.00ns INFO Found test tb.tb
0.00ns INFO running tb (1/0)
A Vulgar Display of OpenPower
0.00ns INFO [00000000] Set threads=1.
0.00ns INFO [00000000] Mem Update: @FFFFFFFC XXXXXXXX->48000002
0.00ns INFO [00000000] Set reset fetch @FFFFFFFC to 48000002.
9.00ns INFO [00000000] ...tick...
9.00ns INFO [00000000] A2O Driver: nothing to do.
9.00ns INFO [00000000] A2O Checker: started.
9.00ns INFO [00000000] A2O Monitor: started.
9.00ns INFO [00000000] A2L2 Driver: started.
9.00ns INFO [00000000] A2L2 Checker: started.
9.00ns INFO [00000000] A2L2 Monitor: started.
9.00ns INFO [00000000] Resetting...
89.00ns INFO [00000010] Releasing reset.
145.00ns INFO [00000018] Threads enabled.
369.00ns INFO [00000046] T0 IFETCH FFFFFFF0 tag=08 len=16 WIMG:5 reld data:52
417.00ns INFO [00000052] RELD tag=08 00000000000000000000000048000002 1of1 crit
537.00ns INFO [00000067] C0: CP 0:3FFFFC 00000000FFFFFFFC
577.00ns INFO [00000072] T0 IFETCH 00000000 tag=08 len=16 WIMG:5 reld data:78
625.00ns INFO [00000078] RELD tag=08 48000400440000020000000000000000 1of1 crit
713.00ns INFO [00000089] T0 IFETCH 00000400 tag=08 len=16 WIMG:5 reld data:95
745.00ns INFO [00000093] C0: CP 0:000000 0000000000000000
761.00ns INFO [00000095] RELD tag=08 7CBE6AA62C250000408200E03C608C00 1of1 crit
801.00ns INFO [00000100] ...tick...
825.00ns INFO [00000103] T0 IFETCH 00000410 tag=08 len=16 WIMG:5 reld data:109
873.00ns INFO [00000109] RELD tag=08 3800001F38400015388000003900023F 1of1 crit
937.00ns INFO [00000117] C0: CP 0:000400 0000000000000400
937.00ns INFO [00000117] T0 IFETCH 00000420 tag=08 len=16 WIMG:5 reld data:123
945.00ns INFO [00000118] GPR Update: R05=0000000000000000
985.00ns INFO [00000123] C0: CP 0:000404 0000000000000404
985.00ns INFO [00000123] RELD tag=08 7C7CFBA67C4011A67C8009A67D0001A6 1of1 crit
993.00ns INFO [00000124] CR Update: F0=2
1001.00ns INFO [00000125] C0: CP 0:000408 1:00040C 0000000000000408
1009.00ns INFO [00000126] C0: CP 0:000410 1:000414 0000000000000410
1009.00ns INFO [00000126] GPR Update: R03=FFFFFFFF8C000000
1017.00ns INFO [00000127] C0: CP 0:000418 1:00041C 0000000000000418
1017.00ns INFO [00000127] GPR Update: R00=000000000000001F
1017.00ns INFO [00000127] GPR Update: R02=0000000000000015
1025.00ns INFO [00000128] GPR Update: R04=0000000000000000
1025.00ns INFO [00000128] GPR Update: R08=000000000000023F
1049.00ns INFO [00000131] T0 IFETCH 00000430 tag=08 len=16 WIMG:5 reld data:137
1097.00ns INFO [00000137] RELD tag=08 4C00012C39400000654A0000614A003F 1of1 crit
1161.00ns INFO [00000145] T0 IFETCH 00000440 tag=08 len=16 WIMG:5 reld data:151
1209.00ns INFO [00000151] RELD tag=08 3800001E388000006484000160840000 1of1 crit
1241.00ns INFO [00000155] C0: CP 0:000420 0000000000000420
1273.00ns INFO [00000159] T0 IFETCH 00000450 tag=08 len=16 WIMG:5 reld data:165
1321.00ns INFO [00000165] RELD tag=08 3900000065080001610800006108023F 1of1 crit
1385.00ns INFO [00000173] T0 IFETCH 00000460 tag=08 len=16 WIMG:5 reld data:179
1417.00ns INFO [00000177] C0: CP 0:000424 0000000000000424
1433.00ns INFO [00000179] RELD tag=08 7D4011A67C8009A67D0001A64C00012C 1of1 crit
1497.00ns INFO [00000187] T0 IFETCH 00000470 tag=08 len=16 WIMG:5 reld data:193
1545.00ns INFO [00000193] RELD tag=08 3C6088003800000F3840003F38800000 1of1 crit
1593.00ns INFO [00000199] C0: CP 0:000428 0000000000000428
1601.00ns INFO [00000200] ...tick...
1609.00ns INFO [00000201] T0 IFETCH 00000480 tag=08 len=16 WIMG:5 reld data:207
1657.00ns INFO [00000207] RELD tag=08 3900023F7C7CFBA67C4011A67C8009A6 1of1 crit
1769.00ns INFO [00000221] C0: CP 0:00042C 1:000430 000000000000042C
1841.00ns INFO [00000230] T0 IFETCH 00000430 tag=08 len=16 WIMG:5 reld data:236
1889.00ns INFO [00000236] RELD tag=08 4C00012C39400000654A0000614A003F 1of1 crit
1953.00ns INFO [00000244] T0 IFETCH 00000440 tag=08 len=16 WIMG:5 reld data:250
2001.00ns INFO [00000250] RELD tag=08 3800001E388000006484000160840000 1of1 crit
2017.00ns INFO [00000252] C0: CP 0:000434 1:000438 0000000000000434
2025.00ns INFO [00000253] GPR Update: R10=0000000000000000
2041.00ns INFO [00000255] C0: CP 0:00043C 000000000000043C
2049.00ns INFO [00000256] GPR Update: R10=000000000000003F
2065.00ns INFO [00000258] T0 IFETCH 00000450 tag=08 len=16 WIMG:5 reld data:264
2113.00ns INFO [00000264] RELD tag=08 3900000065080001610800006108023F 1of1 crit
2129.00ns INFO [00000266] C0: CP 0:000440 1:000444 0000000000000440
2137.00ns INFO [00000267] GPR Update: R00=000000000000001E
2137.00ns INFO [00000267] GPR Update: R04=0000000000000000
2145.00ns INFO [00000268] C0: CP 0:000448 0000000000000448
2153.00ns INFO [00000269] C0: CP 0:00044C 000000000000044C
2153.00ns INFO [00000269] GPR Update: R04=0000000000010000
2161.00ns INFO [00000270] GPR Update: R04=0000000000010000
2177.00ns INFO [00000272] T0 IFETCH 00000460 tag=08 len=16 WIMG:5 reld data:278
2225.00ns INFO [00000278] RELD tag=08 7D4011A67C8009A67D0001A64C00012C 1of1 crit
2241.00ns INFO [00000280] C0: CP 0:000450 1:000454 0000000000000450
2249.00ns INFO [00000281] GPR Update: R08=0000000000010000
2265.00ns INFO [00000283] C0: CP 0:000458 0000000000000458
2273.00ns INFO [00000284] C0: CP 0:00045C 000000000000045C
2273.00ns INFO [00000284] GPR Update: R08=0000000000010000
2281.00ns INFO [00000285] GPR Update: R08=000000000001023F
2289.00ns INFO [00000286] T0 IFETCH 00000470 tag=08 len=16 WIMG:5 reld data:292
2337.00ns INFO [00000292] RELD tag=08 3C6088003800000F3840003F38800000 1of1 crit
2401.00ns INFO [00000300] ...tick...
2401.00ns INFO [00000300] T0 IFETCH 00000480 tag=08 len=16 WIMG:5 reld data:306
2449.00ns INFO [00000306] RELD tag=08 3900023F7C7CFBA67C4011A67C8009A6 1of1 crit
2481.00ns INFO [00000310] C0: CP 0:000460 0000000000000460
2513.00ns INFO [00000314] T0 IFETCH 00000490 tag=08 len=16 WIMG:5 reld data:320
2561.00ns INFO [00000320] RELD tag=08 7D0001A64C00012C3800000D38800000 1of1 crit
2625.00ns INFO [00000328] T0 IFETCH 000004A0 tag=08 len=16 WIMG:5 reld data:334
2657.00ns INFO [00000332] C0: CP 0:000464 0000000000000464
2673.00ns INFO [00000334] RELD tag=08 64840001608400003900000065080001 1of1 crit
2737.00ns INFO [00000342] T0 IFETCH 000004B0 tag=08 len=16 WIMG:5 reld data:348
2785.00ns INFO [00000348] RELD tag=08 610800006108023F7D4011A67C8009A6 1of1 crit
2833.00ns INFO [00000354] C0: CP 0:000468 1:00046C 0000000000000468
2849.00ns INFO [00000356] T0 IFETCH 000004C0 tag=08 len=16 WIMG:5 reld data:362
2897.00ns INFO [00000362] RELD tag=08 7D0001A64C00012C4800000439400000 1of1 crit
2905.00ns INFO [00000363] T0 IFETCH 00000470 tag=09 len=16 WIMG:5 reld data:369
2953.00ns INFO [00000369] RELD tag=09 3C6088003800000F3840003F38800000 1of1 crit
3017.00ns INFO [00000377] T0 IFETCH 00000480 tag=08 len=16 WIMG:5 reld data:383
3065.00ns INFO [00000383] RELD tag=08 3900023F7C7CFBA67C4011A67C8009A6 1of1 crit
3081.00ns INFO [00000385] C0: CP 0:000470 1:000474 0000000000000470
3089.00ns INFO [00000386] C0: CP 0:000478 1:00047C 0000000000000478
3089.00ns INFO [00000386] GPR Update: R00=000000000000000F
3089.00ns INFO [00000386] GPR Update: R03=FFFFFFFF88000000
3097.00ns INFO [00000387] GPR Update: R02=000000000000003F
3097.00ns INFO [00000387] GPR Update: R04=0000000000000000
3129.00ns INFO [00000391] T0 IFETCH 00000490 tag=08 len=16 WIMG:5 reld data:397
3177.00ns INFO [00000397] RELD tag=08 7D0001A64C00012C3800000D38800000 1of1 crit
3193.00ns INFO [00000399] C0: CP 0:000480 0000000000000480
3201.00ns INFO [00000400] ...tick...
3201.00ns INFO [00000400] GPR Update: R08=000000000000023F
3241.00ns INFO [00000405] T0 IFETCH 000004A0 tag=08 len=16 WIMG:5 reld data:411
3289.00ns INFO [00000411] RELD tag=08 64840001608400003900000065080001 1of1 crit
3353.00ns INFO [00000419] T0 IFETCH 000004B0 tag=08 len=16 WIMG:5 reld data:425
3369.00ns INFO [00000421] C0: CP 0:000484 0000000000000484
3401.00ns INFO [00000425] RELD tag=08 610800006108023F7D4011A67C8009A6 1of1 crit
3465.00ns INFO [00000433] T0 IFETCH 000004C0 tag=08 len=16 WIMG:5 reld data:439
3513.00ns INFO [00000439] C0: CP 0:000488 0000000000000488
3513.00ns INFO [00000439] RELD tag=08 7D0001A64C00012C4800000439400000 1of1 crit
3601.00ns INFO [00000450] T0 IFETCH 000004C0 tag=08 len=16 WIMG:5 reld data:456
3649.00ns INFO [00000456] RELD tag=08 7D0001A64C00012C4800000439400000 1of1 crit
3657.00ns INFO [00000457] C0: CP 0:00048C 000000000000048C
3713.00ns INFO [00000464] T0 IFETCH 000004D0 tag=08 len=16 WIMG:5 reld data:470
3761.00ns INFO [00000470] RELD tag=08 654A8002614AB0007D4001244C00012C 1of1 crit
3801.00ns INFO [00000475] C0: CP 0:000490 1:000494 0000000000000490
3825.00ns INFO [00000478] T0 IFETCH 000004E0 tag=08 len=16 WIMG:0 reld data:484
3873.00ns INFO [00000484] T0 IFETCH 00000490 tag=09 len=16 WIMG:0 reld data:488
3873.00ns INFO [00000484] RELD tag=08 7D0001A64C00012C4800000439400000 1of4
3881.00ns INFO [00000485] RELD tag=08 654A8002614AB0007D4001244C00012C 2of4
3889.00ns INFO [00000486] RELD tag=08 802008FA4800002039400000654A8002 3of4 crit
3897.00ns INFO [00000487] RELD tag=08 614AB0007D4001244C00012C802008FE 4of4
3905.00ns INFO [00000488] RELD tag=09 3900023F7C7CFBA67C4011A67C8009A6 1of4
3913.00ns INFO [00000489] RELD tag=09 7D0001A64C00012C3800000D38800000 2of4 crit
3921.00ns INFO [00000490] RELD tag=09 64840001608400003900000065080001 3of4
3929.00ns INFO [00000491] RELD tag=09 610800006108023F7D4011A67C8009A6 4of4
4001.00ns INFO [00000500] ...tick...
4041.00ns INFO [00000505] C0: CP 0:000498 1:00049C 0000000000000498
4049.00ns INFO [00000506] GPR Update: R00=000000000000000D
4049.00ns INFO [00000506] GPR Update: R04=0000000000000000
4081.00ns INFO [00000510] C0: CP 0:0004A0 00000000000004A0
4089.00ns INFO [00000511] C0: CP 0:0004A4 1:0004A8 00000000000004A4
4089.00ns INFO [00000511] GPR Update: R04=0000000000010000
4097.00ns INFO [00000512] C0: CP 0:0004AC 00000000000004AC
4097.00ns INFO [00000512] GPR Update: R04=0000000000010000
4097.00ns INFO [00000512] GPR Update: R08=0000000000000000
4105.00ns INFO [00000513] GPR Update: R08=0000000000010000
4113.00ns INFO [00000514] T0 IFETCH 00000500 tag=08 len=16 WIMG:0 reld data:520
4121.00ns INFO [00000515] C0: CP 0:0004B0 00000000000004B0
4129.00ns INFO [00000516] C0: CP 0:0004B4 00000000000004B4
4129.00ns INFO [00000516] GPR Update: R08=0000000000010000
4137.00ns INFO [00000517] GPR Update: R08=000000000001023F
4137.00ns INFO [00000517] T0 IFETCH 00000540 tag=09 len=16 WIMG:0 reld data:524
4161.00ns INFO [00000520] RELD tag=08 480000043C60000060630C347C6903A6 1of4 crit
4169.00ns INFO [00000521] RELD tag=08 7C7E6AA64E800421480002E400000000 2of4
4177.00ns INFO [00000522] RELD tag=08 00000000000000000000000000000000 3of4
4185.00ns INFO [00000523] RELD tag=08 00000000000000000000000000000000 4of4
4193.00ns INFO [00000524] RELD tag=09 00000000000000000000000000000000 1of4 crit
4201.00ns INFO [00000525] RELD tag=09 00000000000000000000000000000000 2of4
4209.00ns INFO [00000526] RELD tag=09 00000000000000000000000000000000 3of4
4217.00ns INFO [00000527] RELD tag=09 00000000000000000000000000000000 4of4
4273.00ns INFO [00000534] C0: CP 0:0004B8 00000000000004B8
4329.00ns INFO [00000541] T0 IFETCH 000007F0 tag=08 len=16 WIMG:0 reld data:547
4353.00ns INFO [00000544] T0 IFETCH 00000800 tag=09 len=16 WIMG:0 reld data:551
4377.00ns INFO [00000547] RELD tag=08 00000000000000000000000000000000 1of4
4385.00ns INFO [00000548] RELD tag=08 00000000000000000000000000000000 2of4
4393.00ns INFO [00000549] RELD tag=08 00000000000000000000000000000000 3of4
4401.00ns INFO [00000550] RELD tag=08 48000000480000000000000048000000 4of4 crit
4409.00ns INFO [00000551] RELD tag=09 48000000000000000000000000000000 1of4 crit
4417.00ns INFO [00000552] C0: CP 0:0004BC 00000000000004BC
4417.00ns INFO [00000552] RELD tag=09 00000000000000000000000000000000 2of4
4425.00ns INFO [00000553] RELD tag=09 48000000000000000000000000000000 3of4
4433.00ns INFO [00000554] RELD tag=09 00000000000000000000000000000000 4of4
4561.00ns INFO [00000570] C0: CP 0:0004C0 1:0004C4 00000000000004C0
4705.00ns INFO [00000588] C0: CP 0:0004C8 00000000000004C8
4745.00ns INFO [00000593] C0: CP 0:0004CC 00000000000004CC
4753.00ns INFO [00000594] GPR Update: R10=0000000000000000
4761.00ns INFO [00000595] C0: CP 0:0004D0 00000000000004D0
4769.00ns INFO [00000596] GPR Update: R10=0000000080020000
4777.00ns INFO [00000597] C0: CP 0:0004D4 00000000000004D4
4785.00ns INFO [00000598] GPR Update: R10=000000008002B000
4801.00ns INFO [00000600] ...tick...
4889.00ns INFO [00000611] C0: CP 0:0004D8 00000000000004D8
4993.00ns INFO [00000624] C0: CP 0:0004DC 00000000000004DC
5137.00ns INFO [00000642] T0 LOAD 000008FA tag=00 len=4 WIMG:0 reld data:648
5185.00ns INFO [00000648] RELD tag=00 00000000000000000000000000000000 1of4
5193.00ns INFO [00000649] RELD tag=00 00000000000000000000000000000000 2of4
5201.00ns INFO [00000650] RELD tag=00 00000000000000000000000000000000 3of4
5209.00ns INFO [00000651] RELD tag=00 6D61696E282569290A000001FFF80000 4of4 crit
5257.00ns INFO [00000657] T0 IFETCH 00000C30 tag=08 len=16 WIMG:0 reld data:663
5273.00ns INFO [00000659] C0: CP 0:0004E0 1:0004E4 00000000000004E0
5281.00ns INFO [00000660] C0: CP 0:000504 1:000508 0000000000000504
5281.00ns INFO [00000660] GPR Update: R01=000000000001FFF8
5281.00ns INFO [00000660] T0 IFETCH 00000C40 tag=09 len=16 WIMG:0 reld data:667
5289.00ns INFO [00000661] C0: CP 0:00050C 000000000000050C
5289.00ns INFO [00000661] GPR Update: R03=0000000000000C34
5297.00ns INFO [00000662] CTR Update:0000000000000C34
5305.00ns INFO [00000663] C0: CP 0:000510 1:000514 0000000000000510
5305.00ns INFO [00000663] RELD tag=08 9421FFF07C691B78992100083D200001 1of4
5313.00ns INFO [00000664] GPR Update: R03=0000000000000000
5313.00ns INFO [00000664] LR Update:0000000000000518
5313.00ns INFO [00000664] RELD tag=08 81292000390900013D400001910A2000 2of4
5321.00ns INFO [00000665] RELD tag=08 89410008994900006000000038210010 3of4
5329.00ns INFO [00000666] RELD tag=08 4E8000209421FFC07C0802A690010044 4of4 crit
5337.00ns INFO [00000667] RELD tag=09 906100383D200001392920009121000C 1of4 crit
5345.00ns INFO [00000668] RELD tag=09 3D200000392910A09121001081210038 2of4
5353.00ns INFO [00000669] RELD tag=09 2C0900004182000C3920FFFF480001CC 3of4
5361.00ns INFO [00000670] RELD tag=09 3D200000392910709121000848000028 4of4
5481.00ns INFO [00000685] C0: CP 0:000C34 1:000C38 0000000000000C34
5489.00ns INFO [00000686] GPR Update: R00=0000000000000518
5489.00ns INFO [00000686] GPR Update: R01=000000000001FFB8
5489.00ns INFO [00000686] T0 IFETCH 00000E30 tag=08 len=16 WIMG:0 reld data:692
5513.00ns INFO [00000689] C0: CP 0:000C3C 0000000000000C3C
5513.00ns INFO [00000689] T0 IFETCH 00000E40 tag=09 len=16 WIMG:0 reld data:696
5521.00ns INFO [00000690] T0 STORE 0001FFB8 tag=00 len=4 be=00F00000 data=39291070000000000001FFF83D20000000000000000000000000000000000000 WIMG:0
5521.00ns INFO [00000690] Mem Update: @0001FFB8 XXXXXXXX->0001FFF8
5529.00ns INFO [00000691] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
5537.00ns INFO [00000692] C0: CP 0:000C40 1:000C44 0000000000000C40
5537.00ns INFO [00000692] RELD tag=08 608400097C9053A66000000039200000 1of4
5545.00ns INFO [00000693] C0: CP 0:000C48 1:000C4C 0000000000000C48
5545.00ns INFO [00000693] GPR Update: R09=0000000000010000
5545.00ns INFO [00000693] RELD tag=08 91210014812100143C80000060840009 2of4
5553.00ns INFO [00000694] C0: CP 0:000C50 1:000C54 0000000000000C50
5553.00ns INFO [00000694] GPR Update: R09=0000000000012000
5553.00ns INFO [00000694] T0 STORE 0001FFFC tag=01 len=4 be=000F0000 data=3D20000039291070000000000000051800000000000000000000000000000000 WIMG:0
5553.00ns INFO [00000694] Mem Update: @0001FFFC XXXXXXXX->00000518
5553.00ns INFO [00000694] RELD tag=08 7C9453A660000000812100107D234B78 3of4
5561.00ns INFO [00000695] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
5561.00ns INFO [00000695] C0: CP 0:000C58 0000000000000C58
5561.00ns INFO [00000695] GPR Update: R09=00000000000010A0
5561.00ns INFO [00000695] RELD tag=08 48000390480000007D234B7880010044 4of4 crit
5569.00ns INFO [00000696] RELD tag=09 7C0803A6382100404E8000209421FFE0 1of4 crit
5577.00ns INFO [00000697] T0 STORE 0001FFF0 tag=01 len=4 be=F0000000 data=00000000608400097C9053A60000000000000000000000000000000000000000 WIMG:0
5577.00ns INFO [00000697] Mem Update: @0001FFF0 XXXXXXXX->00000000
5577.00ns INFO [00000697] RELD tag=09 7C0802A6900100249061001839200001 2of4
5585.00ns INFO [00000698] T0 STORE 0001FFC4 tag=02 len=4 be=0F000000 data=0000000000012000912100148121001400000000000000000000000000000000 WIMG:0
5585.00ns INFO [00000698] Mem Update: @0001FFC4 XXXXXXXX->00012000
5585.00ns INFO [00000698] RELD tag=09 9121000C814100183D20086761295309 3of4
5593.00ns INFO [00000699] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00000000 00012000 00000000 00000000 00000000 00000000 00000000 00000000 ...... .........................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
5593.00ns INFO [00000699] RELD tag=09 7C0A48004182000C3C6080004BFFF979 4of4
5601.00ns INFO [00000700] ...tick...
5601.00ns INFO [00000700] T0 STORE 0001FFC8 tag=02 len=4 be=00F00000 data=4800000000000000000010A04800039000000000000000000000000000000000 WIMG:0
5601.00ns INFO [00000700] Mem Update: @0001FFC8 XXXXXXXX->000010A0
5609.00ns INFO [00000701] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00000000 00012000 000010A0 00000000 00000000 00000000 00000000 00000000 ...... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
5657.00ns INFO [00000707] T0 LOAD 0001FFF0 tag=00 len=4 WIMG:0 reld data:713
5705.00ns INFO [00000713] RELD tag=00 0000000000012000000010A000000000 1of4
5713.00ns INFO [00000714] RELD tag=00 00000000000000000000000000000000 2of4
5721.00ns INFO [00000715] RELD tag=00 00000000000000000000000000000000 3of4
5729.00ns INFO [00000716] RELD tag=00 00000000000000000000000000000518 4of4 crit
5793.00ns INFO [00000724] C0: CP 0:000C5C 0000000000000C5C
5801.00ns INFO [00000725] GPR Update: R09=0000000000000000
5809.00ns INFO [00000726] C0: CP 0:000C60 0000000000000C60
5817.00ns INFO [00000727] CR Update: F0=2
5825.00ns INFO [00000728] C0: CP 0:000C64 0000000000000C64
5905.00ns INFO [00000738] T0 IFETCH 00000CA0 tag=08 len=16 WIMG:0 reld data:744
5929.00ns INFO [00000741] T0 IFETCH 00000CC0 tag=09 len=16 WIMG:0 reld data:748
5945.00ns INFO [00000743] C0: CP 0:000C70 1:000C74 0000000000000C70
5953.00ns INFO [00000744] GPR Update: R09=0000000000001070
5953.00ns INFO [00000744] RELD tag=08 8121000C394900049141000C81410008 1of4
5961.00ns INFO [00000745] RELD tag=08 814A0000914900008121000839290004 2of4
5969.00ns INFO [00000746] RELD tag=08 91210008814100083D20000039291078 3of4 crit
5977.00ns INFO [00000747] C0: CP 0:000C78 1:000C7C 0000000000000C78
5977.00ns INFO [00000747] RELD tag=08 7C0A48404180FFCC3D2000023929E008 4of4
5985.00ns INFO [00000748] RELD tag=09 91210008480000248121000839490004 1of4 crit
5993.00ns INFO [00000749] RELD tag=09 91410008394000009149000081210008 2of4
6001.00ns INFO [00000750] RELD tag=09 3929000491210008814100083D200002 3of4
6009.00ns INFO [00000751] RELD tag=09 3929E0287C0A48404180FFD03D200000 4of4
6017.00ns INFO [00000752] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010707C0A48404180FFCC0000000000000000000000000000000000000000 WIMG:0
6017.00ns INFO [00000752] Mem Update: @0001FFC0 XXXXXXXX->00001070
6025.00ns INFO [00000753] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00001070 00012000 000010A0 00000000 00000000 00000000 00000000 00000000 ...p.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6129.00ns INFO [00000766] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
6137.00ns INFO [00000767] C0: CP 0:000CAC 0000000000000CAC
6137.00ns INFO [00000767] GPR Update: R09=0000000000000000
6137.00ns INFO [00000767] GPR Update: R10=0000000000001070
6145.00ns INFO [00000768] GPR Update: R09=0000000000001078
6145.00ns INFO [00000768] T0 IFETCH 00000D00 tag=08 len=16 WIMG:0 reld data:774
6161.00ns INFO [00000770] C0: CP 0:000CB0 0000000000000CB0
6169.00ns INFO [00000771] C0: CP 0:000CB4 0000000000000CB4
6169.00ns INFO [00000771] CR Update: F0=8
6169.00ns INFO [00000771] T0 IFETCH 00000D40 tag=09 len=16 WIMG:0 reld data:778
6193.00ns INFO [00000774] RELD tag=08 38690C0048002B7D3860007748002BC5 1of4 crit
6201.00ns INFO [00000775] RELD tag=08 3860007448002BBD3860006648002BB5 2of4
6209.00ns INFO [00000776] RELD tag=08 3860000A48002BAD808100383D200000 3of4
6217.00ns INFO [00000777] RELD tag=08 386908F04CC6318248002DA13D200300 4of4
6225.00ns INFO [00000778] RELD tag=09 91210034812100343C80000060840009 1of4 crit
6233.00ns INFO [00000779] RELD tag=09 7C934BA6600000003920000091210030 2of4
6241.00ns INFO [00000780] RELD tag=09 812100303C800000608400097C9603A6 3of4
6249.00ns INFO [00000781] RELD tag=09 60000000392000009121002C8121002C 4of4
6321.00ns INFO [00000790] C0: CP 0:000C80 1:000C84 0000000000000C80
6329.00ns INFO [00000791] GPR Update: R09=0000000000012000
6329.00ns INFO [00000791] GPR Update: R10=0000000000012004
6329.00ns INFO [00000791] T0 LOAD 00001070 tag=00 len=4 WIMG:0 reld data:797
6345.00ns INFO [00000793] C0: CP 0:000C88 1:000C8C 0000000000000C88
6345.00ns INFO [00000793] T0 IFETCH 00003880 tag=08 len=16 WIMG:0 reld data:801
6353.00ns INFO [00000794] GPR Update: R10=0000000000001070
6369.00ns INFO [00000796] T0 IFETCH 000038C0 tag=09 len=16 WIMG:0 reld data:805
6377.00ns INFO [00000797] RELD tag=00 280900234081FF704BFFF7A948000000 1of4
6385.00ns INFO [00000798] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=0000000000012004600000003920000000000000000000000000000000000000 WIMG:0
6385.00ns INFO [00000798] Mem Update: @0001FFC4 00012000->00012004
6385.00ns INFO [00000798] RELD tag=00 9421FFF0906100089081000C48000258 2of4
6393.00ns INFO [00000799] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00001070 00012004 000010A0 00000000 00000000 00000000 00000000 00000000 ...p.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6393.00ns INFO [00000799] RELD tag=00 60000000382100104E80002048000000 3of4
6401.00ns INFO [00000800] ...tick...
6401.00ns INFO [00000800] RELD tag=00 0001C000000000000000000000000000 4of4 crit
6409.00ns INFO [00000801] RELD tag=08 9421FFF0906100083D20000281410008 1of4 crit
6417.00ns INFO [00000802] RELD tag=08 9149E01860000000382100104E800020 2of4
6425.00ns INFO [00000803] RELD tag=08 9421FFF0906100089081000C3D200002 3of4
6433.00ns INFO [00000804] RELD tag=08 814100089149E01C3D2000028141000C 4of4
6441.00ns INFO [00000805] RELD tag=09 9149E02060000000382100104E800020 1of4 crit
6449.00ns INFO [00000806] RELD tag=09 9421FFF07C0802A69001001490610008 2of4
6457.00ns INFO [00000807] RELD tag=09 3D2000028129E0182C09000041820020 3of4
6465.00ns INFO [00000808] C0: CP 0:000C90 0000000000000C90
6465.00ns INFO [00000808] RELD tag=09 3D2000028129E01881410008554A063E 4of4
6473.00ns INFO [00000809] GPR Update: R10=000000000001C000
6497.00ns INFO [00000812] C0: CP 0:000C94 1:000C98 0000000000000C94
6505.00ns INFO [00000813] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
6505.00ns INFO [00000813] GPR Update: R09=0000000000001070
6513.00ns INFO [00000814] GPR Update: R09=0000000000001074
6537.00ns INFO [00000817] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
6537.00ns INFO [00000817] Mem Update: @00012000 XXXXXXXX->0001C000
6545.00ns INFO [00000818] T0 STORE 0001FFC0 tag=01 len=4 be=F0000000 data=000010743D2000028129E0180000000000000000000000000000000000000000 WIMG:0
6545.00ns INFO [00000818] Mem Update: @0001FFC0 00001070->00001074
6553.00ns INFO [00000819] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00001074 00012004 000010A0 00000000 00000000 00000000 00000000 00000000 ...t.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6641.00ns INFO [00000830] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
6649.00ns INFO [00000831] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
6649.00ns INFO [00000831] GPR Update: R09=0000000000000000
6649.00ns INFO [00000831] GPR Update: R10=0000000000001074
6657.00ns INFO [00000832] C0: CP 0:000CB4 0000000000000CB4
6657.00ns INFO [00000832] GPR Update: R09=0000000000001078
6657.00ns INFO [00000832] CR Update: F0=8
6809.00ns INFO [00000851] C0: CP 0:000C80 1:000C84 0000000000000C80
6817.00ns INFO [00000852] GPR Update: R09=0000000000012004
6817.00ns INFO [00000852] GPR Update: R10=0000000000012008
6833.00ns INFO [00000854] C0: CP 0:000C88 1:000C8C 0000000000000C88
6841.00ns INFO [00000855] GPR Update: R10=0000000000001074
6857.00ns INFO [00000857] C0: CP 0:000C90 0000000000000C90
6865.00ns INFO [00000858] GPR Update: R10=0000000000000000
6873.00ns INFO [00000859] C0: CP 0:000C94 1:000C98 0000000000000C94
6873.00ns INFO [00000859] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120083D2000028129E01800000000000000000000000000000000 WIMG:0
6873.00ns INFO [00000859] Mem Update: @0001FFC4 00012004->00012008
6881.00ns INFO [00000860] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00001074 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ...t.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
6881.00ns INFO [00000860] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
6881.00ns INFO [00000860] GPR Update: R09=0000000000001074
6889.00ns INFO [00000861] GPR Update: R09=0000000000001078
6913.00ns INFO [00000864] T0 STORE 00012004 tag=00 len=4 be=0F000000 data=00000000000000003D2000028129E01800000000000000000000000000000000 WIMG:0
6913.00ns INFO [00000864] Mem Update: @00012004 XXXXXXXX->00000000
6921.00ns INFO [00000865] T0 STORE 0001FFC0 tag=01 len=4 be=F0000000 data=000010783D2000028129E0180000000000000000000000000000000000000000 WIMG:0
6921.00ns INFO [00000865] Mem Update: @0001FFC0 00001074->00001078
6929.00ns INFO [00000866] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 00001078 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ...x.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7017.00ns INFO [00000877] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
7025.00ns INFO [00000878] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
7025.00ns INFO [00000878] GPR Update: R09=0000000000000000
7025.00ns INFO [00000878] GPR Update: R10=0000000000001078
7033.00ns INFO [00000879] C0: CP 0:000CB4 1:000CB8 0000000000000CB4
7033.00ns INFO [00000879] GPR Update: R09=0000000000001078
7033.00ns INFO [00000879] CR Update: F0=2
7041.00ns INFO [00000880] C0: CP 0:000CBC 1:000CC0 0000000000000CBC
7041.00ns INFO [00000880] GPR Update: R09=0000000000020000
7049.00ns INFO [00000881] C0: CP 0:000CC4 0000000000000CC4
7049.00ns INFO [00000881] GPR Update: R09=000000000001E008
7081.00ns INFO [00000885] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0083D2000028129E0180000000000000000000000000000000000000000 WIMG:0
7081.00ns INFO [00000885] Mem Update: @0001FFC0 00001078->0001E008
7089.00ns INFO [00000886] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E008 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7177.00ns INFO [00000897] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
7185.00ns INFO [00000898] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
7185.00ns INFO [00000898] GPR Update: R09=0000000000020000
7185.00ns INFO [00000898] GPR Update: R10=000000000001E008
7193.00ns INFO [00000899] C0: CP 0:000CF8 0000000000000CF8
7193.00ns INFO [00000899] GPR Update: R09=000000000001E028
7193.00ns INFO [00000899] CR Update: F0=8
7201.00ns INFO [00000900] ...tick...
7345.00ns INFO [00000918] C0: CP 0:000CC8 1:000CCC 0000000000000CC8
7353.00ns INFO [00000919] GPR Update: R09=000000000001E008
7353.00ns INFO [00000919] GPR Update: R10=000000000001E00C
7369.00ns INFO [00000921] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
7377.00ns INFO [00000922] C0: CP 0:000CD8 0000000000000CD8
7377.00ns INFO [00000922] GPR Update: R10=0000000000000000
7409.00ns INFO [00000926] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E00C3D2000028129E0180000000000000000000000000000000000000000 WIMG:0
7409.00ns INFO [00000926] Mem Update: @0001FFC0 0001E008->0001E00C
7417.00ns INFO [00000927] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E00C 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7417.00ns INFO [00000927] T0 STORE 0001E008 tag=01 len=4 be=00F00000 data=8129E01800000000000000003D20000200000000000000000000000000000000 WIMG:0
7417.00ns INFO [00000927] Mem Update: @0001E008 XXXXXXXX->00000000
7513.00ns INFO [00000939] C0: CP 0:000CDC 0000000000000CDC
7521.00ns INFO [00000940] C0: CP 0:000CE0 0000000000000CE0
7521.00ns INFO [00000940] GPR Update: R09=000000000001E00C
7529.00ns INFO [00000941] GPR Update: R09=000000000001E010
7537.00ns INFO [00000942] C0: CP 0:000CE4 0000000000000CE4
7577.00ns INFO [00000947] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0103D2000028129E0180000000000000000000000000000000000000000 WIMG:0
7577.00ns INFO [00000947] Mem Update: @0001FFC0 0001E00C->0001E010
7585.00ns INFO [00000948] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E010 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7681.00ns INFO [00000960] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
7689.00ns INFO [00000961] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
7689.00ns INFO [00000961] GPR Update: R09=0000000000020000
7689.00ns INFO [00000961] GPR Update: R10=000000000001E010
7697.00ns INFO [00000962] C0: CP 0:000CF8 0000000000000CF8
7697.00ns INFO [00000962] GPR Update: R09=000000000001E028
7697.00ns INFO [00000962] CR Update: F0=8
7849.00ns INFO [00000981] C0: CP 0:000CC8 1:000CCC 0000000000000CC8
7857.00ns INFO [00000982] GPR Update: R09=000000000001E010
7857.00ns INFO [00000982] GPR Update: R10=000000000001E014
7873.00ns INFO [00000984] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
7881.00ns INFO [00000985] C0: CP 0:000CD8 0000000000000CD8
7881.00ns INFO [00000985] GPR Update: R10=0000000000000000
7913.00ns INFO [00000989] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0143D2000028129E0180000000000000000000000000000000000000000 WIMG:0
7913.00ns INFO [00000989] Mem Update: @0001FFC0 0001E010->0001E014
7921.00ns INFO [00000990] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E014 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7921.00ns INFO [00000990] T0 STORE 0001E010 tag=01 len=4 be=F0000000 data=000000003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
7921.00ns INFO [00000990] Mem Update: @0001E010 XXXXXXXX->00000000
8001.00ns INFO [00001000] ...tick...
8017.00ns INFO [00001002] C0: CP 0:000CDC 0000000000000CDC
8025.00ns INFO [00001003] C0: CP 0:000CE0 0000000000000CE0
8025.00ns INFO [00001003] GPR Update: R09=000000000001E014
8033.00ns INFO [00001004] GPR Update: R09=000000000001E018
8041.00ns INFO [00001005] C0: CP 0:000CE4 0000000000000CE4
8081.00ns INFO [00001010] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0183D2000028129E0180000000000000000000000000000000000000000 WIMG:0
8081.00ns INFO [00001010] Mem Update: @0001FFC0 0001E014->0001E018
8089.00ns INFO [00001011] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E018 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8185.00ns INFO [00001023] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
8193.00ns INFO [00001024] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
8193.00ns INFO [00001024] GPR Update: R09=0000000000020000
8193.00ns INFO [00001024] GPR Update: R10=000000000001E018
8201.00ns INFO [00001025] C0: CP 0:000CF8 0000000000000CF8
8201.00ns INFO [00001025] GPR Update: R09=000000000001E028
8201.00ns INFO [00001025] CR Update: F0=8
8353.00ns INFO [00001044] C0: CP 0:000CC8 1:000CCC 0000000000000CC8
8361.00ns INFO [00001045] GPR Update: R09=000000000001E018
8361.00ns INFO [00001045] GPR Update: R10=000000000001E01C
8377.00ns INFO [00001047] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
8385.00ns INFO [00001048] C0: CP 0:000CD8 0000000000000CD8
8385.00ns INFO [00001048] GPR Update: R10=0000000000000000
8417.00ns INFO [00001052] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E01C3D2000028129E0180000000000000000000000000000000000000000 WIMG:0
8417.00ns INFO [00001052] Mem Update: @0001FFC0 0001E018->0001E01C
8425.00ns INFO [00001053] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E01C 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à... .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8425.00ns INFO [00001053] T0 STORE 0001E018 tag=01 len=4 be=00F00000 data=8129E01800000000000000003D20000200000000000000000000000000000000 WIMG:0
8425.00ns INFO [00001053] Mem Update: @0001E018 XXXXXXXX->00000000
8521.00ns INFO [00001065] C0: CP 0:000CDC 0000000000000CDC
8529.00ns INFO [00001066] C0: CP 0:000CE0 0000000000000CE0
8529.00ns INFO [00001066] GPR Update: R09=000000000001E01C
8537.00ns INFO [00001067] GPR Update: R09=000000000001E020
8545.00ns INFO [00001068] C0: CP 0:000CE4 0000000000000CE4
8585.00ns INFO [00001073] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0203D2000028129E0180000000000000000000000000000000000000000 WIMG:0
8585.00ns INFO [00001073] Mem Update: @0001FFC0 0001E01C->0001E020
8593.00ns INFO [00001074] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E020 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à .. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8689.00ns INFO [00001086] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
8697.00ns INFO [00001087] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
8697.00ns INFO [00001087] GPR Update: R09=0000000000020000
8697.00ns INFO [00001087] GPR Update: R10=000000000001E020
8705.00ns INFO [00001088] C0: CP 0:000CF8 0000000000000CF8
8705.00ns INFO [00001088] GPR Update: R09=000000000001E028
8705.00ns INFO [00001088] CR Update: F0=8
8801.00ns INFO [00001100] ...tick...
8857.00ns INFO [00001107] C0: CP 0:000CC8 1:000CCC 0000000000000CC8
8865.00ns INFO [00001108] GPR Update: R09=000000000001E020
8865.00ns INFO [00001108] GPR Update: R10=000000000001E024
8881.00ns INFO [00001110] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
8889.00ns INFO [00001111] C0: CP 0:000CD8 0000000000000CD8
8889.00ns INFO [00001111] GPR Update: R10=0000000000000000
8921.00ns INFO [00001115] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0243D2000028129E0180000000000000000000000000000000000000000 WIMG:0
8921.00ns INFO [00001115] Mem Update: @0001FFC0 0001E020->0001E024
8929.00ns INFO [00001116] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E024 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à$.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8929.00ns INFO [00001116] T0 STORE 0001E020 tag=01 len=4 be=F0000000 data=000000003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
8929.00ns INFO [00001116] Mem Update: @0001E020 XXXXXXXX->00000000
9025.00ns INFO [00001128] C0: CP 0:000CDC 0000000000000CDC
9033.00ns INFO [00001129] C0: CP 0:000CE0 0000000000000CE0
9033.00ns INFO [00001129] GPR Update: R09=000000000001E024
9041.00ns INFO [00001130] GPR Update: R09=000000000001E028
9049.00ns INFO [00001131] C0: CP 0:000CE4 0000000000000CE4
9089.00ns INFO [00001136] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0283D2000028129E0180000000000000000000000000000000000000000 WIMG:0
9089.00ns INFO [00001136] Mem Update: @0001FFC0 0001E024->0001E028
9097.00ns INFO [00001137] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ..........................ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9193.00ns INFO [00001149] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
9201.00ns INFO [00001150] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
9201.00ns INFO [00001150] GPR Update: R09=0000000000020000
9201.00ns INFO [00001150] GPR Update: R10=000000000001E028
9209.00ns INFO [00001151] C0: CP 0:000CF8 1:000CFC 0000000000000CF8
9209.00ns INFO [00001151] GPR Update: R09=000000000001E028
9209.00ns INFO [00001151] CR Update: F0=2
9217.00ns INFO [00001152] C0: CP 0:000D00 1:000D04 0000000000000D00
9217.00ns INFO [00001152] GPR Update: R09=0000000000000000
9225.00ns INFO [00001153] C0: CP 0:003880 1:003884 0000000000003880
9225.00ns INFO [00001153] GPR Update: R03=0000000000000C00
9225.00ns INFO [00001153] LR Update:0000000000000D08
9233.00ns INFO [00001154] C0: CP 0:003888 0000000000003888
9233.00ns INFO [00001154] GPR Update: R01=000000000001FFA8
9241.00ns INFO [00001155] GPR Update: R09=0000000000020000
9257.00ns INFO [00001157] T0 IFETCH 00003900 tag=08 len=16 WIMG:0 reld data:1163
9265.00ns INFO [00001158] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=8129E018000000000001FFB83D20000200000000000000000000000000000000 WIMG:0
9265.00ns INFO [00001158] Mem Update: @0001FFA8 XXXXXXXX->0001FFB8
9273.00ns INFO [00001159] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000000 00000000 0001FFF8 00000000 ..........ÿ¸..............ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9273.00ns INFO [00001159] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=00000C003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
9273.00ns INFO [00001159] Mem Update: @0001FFB0 XXXXXXXX->00000C00
9281.00ns INFO [00001160] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000000 ..........ÿ¸..............ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9281.00ns INFO [00001160] T0 IFETCH 00003940 tag=09 len=16 WIMG:0 reld data:1167
9305.00ns INFO [00001163] RELD tag=08 7D4353787D2903A64E80042181210008 1of4 crit
9313.00ns INFO [00001164] RELD tag=08 7D234B78800100147C0803A638210010 2of4
9321.00ns INFO [00001165] RELD tag=08 4E8000209421FFF07C0802A690010014 3of4
9329.00ns INFO [00001166] T0 LOAD 0001FFB0 tag=00 len=4 WIMG:0 reld data:1171
9329.00ns INFO [00001166] RELD tag=08 3D2000028129E0202C0900004182FFF4 4of4
9337.00ns INFO [00001167] RELD tag=09 3D2000028129E0207D2903A64E800421 1of4 crit
9345.00ns INFO [00001168] RELD tag=09 7C691B782C0900004182FFD83D200002 2of4
9353.00ns INFO [00001169] RELD tag=09 8129E01C7D2903A64E8004217C691B78 3of4
9361.00ns INFO [00001170] RELD tag=09 7D234B78800100147C0803A638210010 4of4
9369.00ns INFO [00001171] RELD tag=00 00000000000000000000000000000000 1of4
9377.00ns INFO [00001172] RELD tag=00 00000000000000000000000000000000 2of4
9385.00ns INFO [00001173] RELD tag=00 00000000000000000001FFB800000000 3of4
9393.00ns INFO [00001174] RELD tag=00 00000C00000000000001FFF800000000 4of4 crit
9457.00ns INFO [00001182] C0: CP 0:00388C 000000000000388C
9465.00ns INFO [00001183] GPR Update: R10=0000000000000C00
9489.00ns INFO [00001186] C0: CP 0:003890 1:003894 0000000000003890
9497.00ns INFO [00001187] C0: CP 0:003898 1:00389C 0000000000003898
9505.00ns INFO [00001188] GPR Update: R01=000000000001FFB8
9529.00ns INFO [00001191] T0 STORE 0001E018 tag=00 len=4 be=00F00000 data=000000000000000000000C0000000C0000000000000000000000000000000000 WIMG:0
9529.00ns INFO [00001191] Mem Update: @0001E018 00000000->00000C00
9601.00ns INFO [00001200] ...tick...
9609.00ns INFO [00001201] C0: CP 0:000D08 1:000D0C 0000000000000D08
9617.00ns INFO [00001202] GPR Update: R03=0000000000000077
9617.00ns INFO [00001202] LR Update:0000000000000D10
9641.00ns INFO [00001205] C0: CP 0:0038D0 00000000000038D0
9649.00ns INFO [00001206] C0: CP 0:0038D4 00000000000038D4
9649.00ns INFO [00001206] GPR Update: R01=000000000001FFA8
9657.00ns INFO [00001207] GPR Update: R00=0000000000000D10
9657.00ns INFO [00001207] T0 LOAD 0001E018 tag=00 len=4 WIMG:0 reld data:1213
9673.00ns INFO [00001209] C0: CP 0:0038D8 00000000000038D8
9681.00ns INFO [00001210] C0: CP 0:0038DC 1:0038E0 00000000000038DC
9681.00ns INFO [00001210] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=00000000000000000001FFB800000C0000000000000000000000000000000000 WIMG:0
9681.00ns INFO [00001210] Mem Update: @0001FFA8 0001FFB8->0001FFB8
9689.00ns INFO [00001211] GPR Update: R09=0000000000020000
9705.00ns INFO [00001213] RELD tag=00 00000000000000000000000000000000 1of4
9713.00ns INFO [00001214] T0 STORE 0001FFBC tag=01 len=4 be=000F0000 data=00000C00000000000000000000000D1000000000000000000000000000000000 WIMG:0
9713.00ns INFO [00001214] Mem Update: @0001FFBC XXXXXXXX->00000D10
9713.00ns INFO [00001214] RELD tag=00 000000000000000000000C0000000000 2of4 crit
9721.00ns INFO [00001215] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000D10 ..........ÿ¸..............ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9721.00ns INFO [00001215] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=0000007700000C00000000000000000000000000000000000000000000000000 WIMG:0
9721.00ns INFO [00001215] Mem Update: @0001FFB0 00000C00->00000077
9721.00ns INFO [00001215] RELD tag=00 00000000000000000000000000000000 3of4
9729.00ns INFO [00001216] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ..........ÿ¸.......w......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9729.00ns INFO [00001216] RELD tag=00 00000000000000000000000000000000 4of4
9777.00ns INFO [00001222] C0: CP 0:0038E4 00000000000038E4
9785.00ns INFO [00001223] GPR Update: R09=0000000000000C00
9801.00ns INFO [00001225] C0: CP 0:0038E8 00000000000038E8
9809.00ns INFO [00001226] C0: CP 0:0038EC 1:0038F0 00000000000038EC
9809.00ns INFO [00001226] CR Update: F0=4
9817.00ns INFO [00001227] GPR Update: R09=0000000000020000
9849.00ns INFO [00001231] C0: CP 0:0038F4 00000000000038F4
9857.00ns INFO [00001232] C0: CP 0:0038F8 1:0038FC 00000000000038F8
9857.00ns INFO [00001232] GPR Update: R09=0000000000000C00
9865.00ns INFO [00001233] GPR Update: R10=0000000000000077
9873.00ns INFO [00001234] C0: CP 0:003900 1:003904 0000000000003900
9881.00ns INFO [00001235] C0: CP 0:003908 0000000000003908
9881.00ns INFO [00001235] GPR Update: R03=0000000000000077
9881.00ns INFO [00001235] CTR Update:0000000000000C00
9889.00ns INFO [00001236] LR Update:000000000000390C
10017.00ns INFO [00001252] C0: CP 0:000C00 1:000C04 0000000000000C00
10025.00ns INFO [00001253] GPR Update: R01=000000000001FF98
10025.00ns INFO [00001253] GPR Update: R09=0000000000000077
10025.00ns INFO [00001253] T0 LOAD 00012000 tag=00 len=4 WIMG:0 reld data:1259
10049.00ns INFO [00001256] C0: CP 0:000C08 1:000C0C 0000000000000C08
10057.00ns INFO [00001257] GPR Update: R09=0000000000010000
10057.00ns INFO [00001257] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=00000000000000000001FFA80000000000000000000000000000000000000000 WIMG:0
10057.00ns INFO [00001257] Mem Update: @0001FF98 XXXXXXXX->0001FFA8
10065.00ns INFO [00001258] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ..........ÿ¸.......w......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10073.00ns INFO [00001259] RELD tag=00 0001C000000000000000000000000000 1of4 crit
10081.00ns INFO [00001260] RELD tag=00 00000000000000000000000000000000 2of4
10089.00ns INFO [00001261] T0 STORE 0001FFA0 tag=01 len=1 be=80000000 data=7700000000000000000000000000000000000000000000000000000000000000 WIMG:0
0 16777215 0 0
10089.00ns INFO [00001261] Mem Update: @0001FFA0 XXXXXXXX->77000000
130976 1996488704
10089.00ns INFO [00001261] RELD tag=00 00000000000000000000000000000000 3of4
10097.00ns INFO [00001262] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 w.........ÿ¸.......w......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10097.00ns INFO [00001262] RELD tag=00 00000000000000000000000000000000 4of4
10137.00ns INFO [00001267] C0: CP 0:000C10 0000000000000C10
10145.00ns INFO [00001268] GPR Update: R09=000000000001C000
10161.00ns INFO [00001270] C0: CP 0:000C14 1:000C18 0000000000000C14
10169.00ns INFO [00001271] GPR Update: R08=000000000001C001
10169.00ns INFO [00001271] GPR Update: R10=0000000000010000
10177.00ns INFO [00001272] C0: CP 0:000C1C 0000000000000C1C
10185.00ns INFO [00001273] C0: CP 0:000C20 0000000000000C20
10193.00ns INFO [00001274] GPR Update: R10=0000000000000077
10201.00ns INFO [00001275] C0: CP 0:000C24 1:000C28 0000000000000C24
10209.00ns INFO [00001276] C0: CP 0:000C2C 1:000C30 0000000000000C2C
10217.00ns INFO [00001277] GPR Update: R01=000000000001FFA8
10217.00ns INFO [00001277] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00100000000000000000000000000000000000000000000000000000000 WIMG:0
10217.00ns INFO [00001277] Mem Update: @00012000 0001C000->0001C001
10241.00ns INFO [00001280] T0 STORE 0001C000 tag=01 len=1 be=80000000 data=7700000000000000000000000000000000000000000000000000000000000000 WIMG:0
0 16777215 0 0
10241.00ns INFO [00001280] Mem Update: @0001C000 XXXXXXXX->77000000
114688 1996488704
10249.00ns INFO [00001281] Print buffer:
0001C000: 77000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 w...............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
10337.00ns INFO [00001292] T0 IFETCH 00003980 tag=08 len=16 WIMG:0 reld data:1298
10353.00ns INFO [00001294] C0: CP 0:00390C 000000000000390C
10361.00ns INFO [00001295] C0: CP 0:003910 1:003914 0000000000003910
10361.00ns INFO [00001295] GPR Update: R09=0000000000000077
10361.00ns INFO [00001295] T0 IFETCH 000039C0 tag=09 len=16 WIMG:0 reld data:1302
10369.00ns INFO [00001296] C0: CP 0:003918 1:00391C 0000000000003918
10369.00ns INFO [00001296] GPR Update: R00=0000000000000D10
10369.00ns INFO [00001296] GPR Update: R03=0000000000000077
10377.00ns INFO [00001297] C0: CP 0:003920 0000000000003920
10377.00ns INFO [00001297] GPR Update: R01=000000000001FFB8
10377.00ns INFO [00001297] LR Update:0000000000000D10
10385.00ns INFO [00001298] RELD tag=08 4E8000209421FFF07C0802A690010014 1of4 crit
10393.00ns INFO [00001299] RELD tag=08 3D2000028129E0202C09000041820028 2of4
10401.00ns INFO [00001300] ...tick...
10401.00ns INFO [00001300] RELD tag=08 3D2000028129E0207D2903A64E800421 3of4
10409.00ns INFO [00001301] RELD tag=08 7C691B782C0900004182000C39200001 4of4
10417.00ns INFO [00001302] RELD tag=09 48000008392000007D234B7880010014 1of4 crit
10425.00ns INFO [00001303] RELD tag=09 7C0803A6382100104E8000209421FFF0 2of4
10433.00ns INFO [00001304] RELD tag=09 7C0802A6900100149061000880610008 3of4
10441.00ns INFO [00001305] RELD tag=09 480000253860000A4BFFFED939200001 4of4
10497.00ns INFO [00001312] C0: CP 0:000D10 1:000D14 0000000000000D10
10505.00ns INFO [00001313] GPR Update: R03=0000000000000074
10505.00ns INFO [00001313] LR Update:0000000000000D18
10561.00ns INFO [00001320] C0: CP 0:0038D0 1:0038D4 00000000000038D0
10569.00ns INFO [00001321] GPR Update: R00=0000000000000D18
10569.00ns INFO [00001321] GPR Update: R01=000000000001FFA8
10593.00ns INFO [00001324] C0: CP 0:0038D8 00000000000038D8
10601.00ns INFO [00001325] C0: CP 0:0038DC 1:0038E0 00000000000038DC
10601.00ns INFO [00001325] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=3860000A000000000001FFB84800002500000000000000000000000000000000 WIMG:0
10601.00ns INFO [00001325] Mem Update: @0001FFA8 0001FFB8->0001FFB8
10609.00ns INFO [00001326] GPR Update: R09=0000000000020000
10617.00ns INFO [00001327] C0: CP 0:0038E4 00000000000038E4
10625.00ns INFO [00001328] C0: CP 0:0038E8 00000000000038E8
10625.00ns INFO [00001328] GPR Update: R09=0000000000000C00
10633.00ns INFO [00001329] C0: CP 0:0038EC 1:0038F0 00000000000038EC
10633.00ns INFO [00001329] CR Update: F0=4
10633.00ns INFO [00001329] T0 STORE 0001FFBC tag=01 len=4 be=000F0000 data=480000253860000A0000000000000D1800000000000000000000000000000000 WIMG:0
10633.00ns INFO [00001329] Mem Update: @0001FFBC 00000D10->00000D18
10641.00ns INFO [00001330] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D18 w.........ÿ¸.......w......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10641.00ns INFO [00001330] C0: CP 0:0038F4 00000000000038F4
10641.00ns INFO [00001330] GPR Update: R09=0000000000020000
10641.00ns INFO [00001330] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=00000074480000253860000A0000000000000000000000000000000000000000 WIMG:0
10641.00ns INFO [00001330] Mem Update: @0001FFB0 00000077->00000074
10649.00ns INFO [00001331] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 w.........ÿ¸.......t......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10649.00ns INFO [00001331] GPR Update: R09=0000000000000C00
10737.00ns INFO [00001342] C0: CP 0:0038F8 00000000000038F8
10745.00ns INFO [00001343] C0: CP 0:0038FC 00000000000038FC
10745.00ns INFO [00001343] GPR Update: R10=0000000000000074
10753.00ns INFO [00001344] C0: CP 0:003900 1:003904 0000000000003900
10753.00ns INFO [00001344] GPR Update: R10=0000000000000074
10761.00ns INFO [00001345] C0: CP 0:003908 0000000000003908
10761.00ns INFO [00001345] GPR Update: R03=0000000000000074
10761.00ns INFO [00001345] CTR Update:0000000000000C00
10769.00ns INFO [00001346] LR Update:000000000000390C
10897.00ns INFO [00001362] C0: CP 0:000C00 1:000C04 0000000000000C00
10905.00ns INFO [00001363] GPR Update: R01=000000000001FF98
10905.00ns INFO [00001363] GPR Update: R09=0000000000000074
10929.00ns INFO [00001366] C0: CP 0:000C08 1:000C0C 0000000000000C08
10937.00ns INFO [00001367] GPR Update: R09=0000000000010000
10937.00ns INFO [00001367] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=3860000A000000000001FFA84800002500000000000000000000000000000000 WIMG:0
10937.00ns INFO [00001367] Mem Update: @0001FF98 0001FFA8->0001FFA8
10945.00ns INFO [00001368] C0: CP 0:000C10 0000000000000C10
10953.00ns INFO [00001369] C0: CP 0:000C14 1:000C18 0000000000000C14
10953.00ns INFO [00001369] GPR Update: R09=000000000001C001
10961.00ns INFO [00001370] GPR Update: R08=000000000001C002
10961.00ns INFO [00001370] GPR Update: R10=0000000000010000
10969.00ns INFO [00001371] C0: CP 0:000C1C 0000000000000C1C
10969.00ns INFO [00001371] T0 STORE 0001FFA0 tag=01 len=1 be=80000000 data=74480000253860000A0000000000000000000000000000000000000000000000 WIMG:0
1996488704 16777215 0 0
10969.00ns INFO [00001371] Mem Update: @0001FFA0 77000000->74000000
130976 1946157056
10977.00ns INFO [00001372] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 t.........ÿ¸.......t......ÿø....
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11009.00ns INFO [00001376] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C002480000253860000A0000000000000000000000000000000000000000 WIMG:0
11009.00ns INFO [00001376] Mem Update: @00012000 0001C001->0001C002
11065.00ns INFO [00001383] C0: CP 0:000C20 0000000000000C20
11073.00ns INFO [00001384] GPR Update: R10=0000000000000074
11081.00ns INFO [00001385] C0: CP 0:000C24 1:000C28 0000000000000C24
11089.00ns INFO [00001386] C0: CP 0:000C2C 1:000C30 0000000000000C2C
11097.00ns INFO [00001387] GPR Update: R01=000000000001FFA8
11121.00ns INFO [00001390] T0 STORE 0001C001 tag=00 len=1 be=40000000 data=0074480000253860000A00000000000000000000000000000000000000000000 WIMG:0
1996488704 4278255615 2 1
11121.00ns INFO [00001390] Mem Update: @0001C000 77000000->77740000
114688 2004090880
11129.00ns INFO [00001391] Print buffer:
0001C000: 77740000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wt..............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
11201.00ns INFO [00001400] ...tick...
11217.00ns INFO [00001402] T0 IFETCH 00003980 tag=08 len=16 WIMG:0 reld data:1408
11233.00ns INFO [00001404] C0: CP 0:00390C 000000000000390C
11241.00ns INFO [00001405] C0: CP 0:003910 1:003914 0000000000003910
11241.00ns INFO [00001405] GPR Update: R09=0000000000000074
11249.00ns INFO [00001406] C0: CP 0:003918 1:00391C 0000000000003918
11249.00ns INFO [00001406] GPR Update: R00=0000000000000D18
11249.00ns INFO [00001406] GPR Update: R03=0000000000000074
11257.00ns INFO [00001407] C0: CP 0:003920 0000000000003920
11257.00ns INFO [00001407] GPR Update: R01=000000000001FFB8
11257.00ns INFO [00001407] LR Update:0000000000000D18
11265.00ns INFO [00001408] RELD tag=08 4E8000209421FFF07C0802A690010014 1of4 crit
11273.00ns INFO [00001409] RELD tag=08 3D2000028129E0202C09000041820028 2of4
11281.00ns INFO [00001410] RELD tag=08 3D2000028129E0207D2903A64E800421 3of4
11289.00ns INFO [00001411] RELD tag=08 7C691B782C0900004182000C39200001 4of4
11377.00ns INFO [00001422] C0: CP 0:000D18 1:000D1C 0000000000000D18
11385.00ns INFO [00001423] GPR Update: R03=0000000000000066
11385.00ns INFO [00001423] LR Update:0000000000000D20
11441.00ns INFO [00001430] C0: CP 0:0038D0 1:0038D4 00000000000038D0
11449.00ns INFO [00001431] GPR Update: R00=0000000000000D20
11449.00ns INFO [00001431] GPR Update: R01=000000000001FFA8
11473.00ns INFO [00001434] C0: CP 0:0038D8 00000000000038D8
11481.00ns INFO [00001435] C0: CP 0:0038DC 1:0038E0 00000000000038DC
11481.00ns INFO [00001435] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=2C090000000000000001FFB87C691B7800000000000000000000000000000000 WIMG:0
11481.00ns INFO [00001435] Mem Update: @0001FFA8 0001FFB8->0001FFB8
11489.00ns INFO [00001436] GPR Update: R09=0000000000020000
11497.00ns INFO [00001437] C0: CP 0:0038E4 00000000000038E4
11505.00ns INFO [00001438] C0: CP 0:0038E8 00000000000038E8
11505.00ns INFO [00001438] GPR Update: R09=0000000000000C00
11513.00ns INFO [00001439] C0: CP 0:0038EC 1:0038F0 00000000000038EC
11513.00ns INFO [00001439] CR Update: F0=4
11513.00ns INFO [00001439] T0 STORE 0001FFBC tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000000D2000000000000000000000000000000000 WIMG:0
11513.00ns INFO [00001439] Mem Update: @0001FFBC 00000D18->00000D20
11521.00ns INFO [00001440] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D20 t.........ÿ¸.......t......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11521.00ns INFO [00001440] C0: CP 0:0038F4 00000000000038F4
11521.00ns INFO [00001440] GPR Update: R09=0000000000020000
11521.00ns INFO [00001440] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=000000667C691B782C0900000000000000000000000000000000000000000000 WIMG:0
11521.00ns INFO [00001440] Mem Update: @0001FFB0 00000074->00000066
11529.00ns INFO [00001441] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 t.........ÿ¸.......f......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11529.00ns INFO [00001441] GPR Update: R09=0000000000000C00
11617.00ns INFO [00001452] C0: CP 0:0038F8 00000000000038F8
11625.00ns INFO [00001453] C0: CP 0:0038FC 00000000000038FC
11625.00ns INFO [00001453] GPR Update: R10=0000000000000066
11633.00ns INFO [00001454] GPR Update: R10=0000000000000066
11641.00ns INFO [00001455] C0: CP 0:003900 1:003904 0000000000003900
11649.00ns INFO [00001456] C0: CP 0:003908 0000000000003908
11649.00ns INFO [00001456] GPR Update: R03=0000000000000066
11649.00ns INFO [00001456] CTR Update:0000000000000C00
11657.00ns INFO [00001457] LR Update:000000000000390C
11785.00ns INFO [00001473] C0: CP 0:000C00 1:000C04 0000000000000C00
11793.00ns INFO [00001474] GPR Update: R01=000000000001FF98
11793.00ns INFO [00001474] GPR Update: R09=0000000000000066
11817.00ns INFO [00001477] C0: CP 0:000C08 1:000C0C 0000000000000C08
11825.00ns INFO [00001478] GPR Update: R09=0000000000010000
11825.00ns INFO [00001478] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=2C090000000000000001FFA87C691B7800000000000000000000000000000000 WIMG:0
11825.00ns INFO [00001478] Mem Update: @0001FF98 0001FFA8->0001FFA8
11833.00ns INFO [00001479] C0: CP 0:000C10 0000000000000C10
11841.00ns INFO [00001480] C0: CP 0:000C14 1:000C18 0000000000000C14
11841.00ns INFO [00001480] GPR Update: R09=000000000001C002
11849.00ns INFO [00001481] GPR Update: R08=000000000001C003
11849.00ns INFO [00001481] GPR Update: R10=0000000000010000
11857.00ns INFO [00001482] C0: CP 0:000C1C 0000000000000C1C
11857.00ns INFO [00001482] T0 STORE 0001FFA0 tag=01 len=1 be=80000000 data=667C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1946157056 16777215 0 0
11857.00ns INFO [00001482] Mem Update: @0001FFA0 74000000->66000000
130976 1711276032
11865.00ns INFO [00001483] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 f.........ÿ¸.......f......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11897.00ns INFO [00001487] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0037C691B782C0900000000000000000000000000000000000000000000 WIMG:0
11897.00ns INFO [00001487] Mem Update: @00012000 0001C002->0001C003
11953.00ns INFO [00001494] C0: CP 0:000C20 0000000000000C20
11961.00ns INFO [00001495] GPR Update: R10=0000000000000066
11969.00ns INFO [00001496] C0: CP 0:000C24 1:000C28 0000000000000C24
11977.00ns INFO [00001497] C0: CP 0:000C2C 1:000C30 0000000000000C2C
11985.00ns INFO [00001498] GPR Update: R01=000000000001FFA8
12001.00ns INFO [00001500] ...tick...
12009.00ns INFO [00001501] T0 STORE 0001C002 tag=00 len=1 be=20000000 data=0000667C691B782C090000000000000000000000000000000000000000000000 WIMG:0
2004090880 4294902015 4 2
12009.00ns INFO [00001501] Mem Update: @0001C000 77740000->77746600
114688 2004116992
12017.00ns INFO [00001502] Print buffer:
0001C000: 77746600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
12105.00ns INFO [00001513] T0 IFETCH 00003980 tag=08 len=16 WIMG:0 reld data:1519
12121.00ns INFO [00001515] C0: CP 0:00390C 000000000000390C
12129.00ns INFO [00001516] C0: CP 0:003910 1:003914 0000000000003910
12129.00ns INFO [00001516] GPR Update: R09=0000000000000066
12137.00ns INFO [00001517] C0: CP 0:003918 1:00391C 0000000000003918
12137.00ns INFO [00001517] GPR Update: R00=0000000000000D20
12137.00ns INFO [00001517] GPR Update: R03=0000000000000066
12145.00ns INFO [00001518] C0: CP 0:003920 0000000000003920
12145.00ns INFO [00001518] GPR Update: R01=000000000001FFB8
12145.00ns INFO [00001518] LR Update:0000000000000D20
12153.00ns INFO [00001519] RELD tag=08 4E8000209421FFF07C0802A690010014 1of4 crit
12161.00ns INFO [00001520] RELD tag=08 3D2000028129E0202C09000041820028 2of4
12169.00ns INFO [00001521] RELD tag=08 3D2000028129E0207D2903A64E800421 3of4
12177.00ns INFO [00001522] RELD tag=08 7C691B782C0900004182000C39200001 4of4
12265.00ns INFO [00001533] C0: CP 0:000D20 1:000D24 0000000000000D20
12273.00ns INFO [00001534] GPR Update: R03=000000000000000A
12273.00ns INFO [00001534] LR Update:0000000000000D28
12329.00ns INFO [00001541] C0: CP 0:0038D0 1:0038D4 00000000000038D0
12337.00ns INFO [00001542] GPR Update: R00=0000000000000D28
12337.00ns INFO [00001542] GPR Update: R01=000000000001FFA8
12361.00ns INFO [00001545] C0: CP 0:0038D8 00000000000038D8
12369.00ns INFO [00001546] C0: CP 0:0038DC 1:0038E0 00000000000038DC
12369.00ns INFO [00001546] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=2C090000000000000001FFB87C691B7800000000000000000000000000000000 WIMG:0
12369.00ns INFO [00001546] Mem Update: @0001FFA8 0001FFB8->0001FFB8
12377.00ns INFO [00001547] GPR Update: R09=0000000000020000
12385.00ns INFO [00001548] C0: CP 0:0038E4 00000000000038E4
12393.00ns INFO [00001549] C0: CP 0:0038E8 00000000000038E8
12393.00ns INFO [00001549] GPR Update: R09=0000000000000C00
12401.00ns INFO [00001550] C0: CP 0:0038EC 1:0038F0 00000000000038EC
12401.00ns INFO [00001550] CR Update: F0=4
12401.00ns INFO [00001550] T0 STORE 0001FFBC tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000000D2800000000000000000000000000000000 WIMG:0
12401.00ns INFO [00001550] Mem Update: @0001FFBC 00000D20->00000D28
12409.00ns INFO [00001551] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D28 f.........ÿ¸.......f......ÿø...(
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12409.00ns INFO [00001551] C0: CP 0:0038F4 00000000000038F4
12409.00ns INFO [00001551] GPR Update: R09=0000000000020000
12409.00ns INFO [00001551] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=0000000A7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
12409.00ns INFO [00001551] Mem Update: @0001FFB0 00000066->0000000A
12417.00ns INFO [00001552] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 66000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 f.........ÿ¸..............ÿø...(
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12417.00ns INFO [00001552] GPR Update: R09=0000000000000C00
12505.00ns INFO [00001563] C0: CP 0:0038F8 00000000000038F8
12513.00ns INFO [00001564] C0: CP 0:0038FC 00000000000038FC
12513.00ns INFO [00001564] GPR Update: R10=000000000000000A
12521.00ns INFO [00001565] GPR Update: R10=000000000000000A
12529.00ns INFO [00001566] C0: CP 0:003900 1:003904 0000000000003900
12537.00ns INFO [00001567] C0: CP 0:003908 0000000000003908
12537.00ns INFO [00001567] GPR Update: R03=000000000000000A
12537.00ns INFO [00001567] CTR Update:0000000000000C00
12545.00ns INFO [00001568] LR Update:000000000000390C
12673.00ns INFO [00001584] C0: CP 0:000C00 1:000C04 0000000000000C00
12681.00ns INFO [00001585] GPR Update: R01=000000000001FF98
12681.00ns INFO [00001585] GPR Update: R09=000000000000000A
12705.00ns INFO [00001588] C0: CP 0:000C08 1:000C0C 0000000000000C08
12713.00ns INFO [00001589] GPR Update: R09=0000000000010000
12713.00ns INFO [00001589] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=2C090000000000000001FFA87C691B7800000000000000000000000000000000 WIMG:0
12713.00ns INFO [00001589] Mem Update: @0001FF98 0001FFA8->0001FFA8
12721.00ns INFO [00001590] C0: CP 0:000C10 0000000000000C10
12729.00ns INFO [00001591] C0: CP 0:000C14 1:000C18 0000000000000C14
12729.00ns INFO [00001591] GPR Update: R09=000000000001C003
12737.00ns INFO [00001592] GPR Update: R08=000000000001C004
12737.00ns INFO [00001592] GPR Update: R10=0000000000010000
12745.00ns INFO [00001593] C0: CP 0:000C1C 0000000000000C1C
12745.00ns INFO [00001593] T0 STORE 0001FFA0 tag=01 len=1 be=80000000 data=0A7C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1711276032 16777215 0 0
12745.00ns INFO [00001593] Mem Update: @0001FFA0 66000000->0A000000
130976 167772160
12753.00ns INFO [00001594] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ..........ÿ¸..............ÿø...(
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
12785.00ns INFO [00001598] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0047C691B782C0900000000000000000000000000000000000000000000 WIMG:0
12785.00ns INFO [00001598] Mem Update: @00012000 0001C003->0001C004
12801.00ns INFO [00001600] ...tick...
12841.00ns INFO [00001605] C0: CP 0:000C20 0000000000000C20
12849.00ns INFO [00001606] GPR Update: R10=000000000000000A
12857.00ns INFO [00001607] C0: CP 0:000C24 1:000C28 0000000000000C24
12865.00ns INFO [00001608] C0: CP 0:000C2C 1:000C30 0000000000000C2C
12873.00ns INFO [00001609] GPR Update: R01=000000000001FFA8
12897.00ns INFO [00001612] T0 STORE 0001C003 tag=00 len=1 be=10000000 data=0000000A7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
2004116992 4294967040 6 3
12897.00ns INFO [00001612] Mem Update: @0001C000 77746600->7774660A
114688 2004117002
12905.00ns INFO [00001613] Print buffer:
0001C000: 7774660A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
12993.00ns INFO [00001624] T0 IFETCH 00003980 tag=08 len=16 WIMG:0 reld data:1630
13009.00ns INFO [00001626] C0: CP 0:00390C 000000000000390C
13017.00ns INFO [00001627] C0: CP 0:003910 1:003914 0000000000003910
13017.00ns INFO [00001627] GPR Update: R09=000000000000000A
13025.00ns INFO [00001628] C0: CP 0:003918 1:00391C 0000000000003918
13025.00ns INFO [00001628] GPR Update: R00=0000000000000D28
13025.00ns INFO [00001628] GPR Update: R03=000000000000000A
13033.00ns INFO [00001629] C0: CP 0:003920 0000000000003920
13033.00ns INFO [00001629] GPR Update: R01=000000000001FFB8
13033.00ns INFO [00001629] LR Update:0000000000000D28
13041.00ns INFO [00001630] RELD tag=08 4E8000209421FFF07C0802A690010014 1of4 crit
13049.00ns INFO [00001631] RELD tag=08 3D2000028129E0202C09000041820028 2of4
13057.00ns INFO [00001632] RELD tag=08 3D2000028129E0207D2903A64E800421 3of4
13065.00ns INFO [00001633] RELD tag=08 7C691B782C0900004182000C39200001 4of4
13121.00ns INFO [00001640] T0 IFETCH 00003AD0 tag=09 len=16 WIMG:0 reld data:1646
13169.00ns INFO [00001646] RELD tag=09 812100087D234B78800101247C0803A6 1of4
13177.00ns INFO [00001647] RELD tag=09 382101204E8000209421FF807C0802A6 2of4 crit
13185.00ns INFO [00001648] C0: CP 0:000D28 1:000D2C 0000000000000D28
13185.00ns INFO [00001648] RELD tag=09 90010084906100189081002490A10028 3of4
13193.00ns INFO [00001649] C0: CP 0:000D30 1:000D34 0000000000000D30
13193.00ns INFO [00001649] GPR Update: R04=0000000000000000
13193.00ns INFO [00001649] GPR Update: R09=0000000000000000
13193.00ns INFO [00001649] RELD tag=09 90C1002C90E100309101003491210038 4of4
13201.00ns INFO [00001650] C0: CP 0:000D38 0000000000000D38
13201.00ns INFO [00001650] GPR Update: R03=00000000000008F0
13201.00ns INFO [00001650] CR Update: F1=0
13209.00ns INFO [00001651] LR Update:0000000000000D3C
13281.00ns INFO [00001660] T0 IFETCH 00003B00 tag=08 len=16 WIMG:0 reld data:1666
13305.00ns INFO [00001663] T0 IFETCH 00003B40 tag=09 len=16 WIMG:0 reld data:1670
13329.00ns INFO [00001666] C0: CP 0:003AD8 1:003ADC 0000000000003AD8
13329.00ns INFO [00001666] RELD tag=08 9141003C40860024D8210040D8410048 1of4 crit
13337.00ns INFO [00001667] GPR Update: R00=0000000000000D3C
13337.00ns INFO [00001667] GPR Update: R01=000000000001FF38
13337.00ns INFO [00001667] RELD tag=08 D8610050D8810058D8A10060D8C10068 2of4
13345.00ns INFO [00001668] RELD tag=08 D8E10070D9010078392000019921000C 3of4
13353.00ns INFO [00001669] RELD tag=08 392000009921000D3921008891210010 4of4
13361.00ns INFO [00001670] RELD tag=09 39210020912100143921000C7D244B78 1of4 crit
13369.00ns INFO [00001671] C0: CP 0:003AE0 0000000000003AE0
13369.00ns INFO [00001671] T0 STORE 0001FF38 tag=00 len=4 be=00F00000 data=40860024000000000001FFB89141003C00000000000000000000000000000000 WIMG:0
13369.00ns INFO [00001671] Mem Update: @0001FF38 XXXXXXXX->0001FFB8
13369.00ns INFO [00001671] RELD tag=09 806100184BFFFF197C691B7891210008 2of4
13377.00ns INFO [00001672] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ..........ÿ¸..............ÿø...(
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13377.00ns INFO [00001672] C0: CP 0:003AE4 0000000000003AE4
13377.00ns INFO [00001672] RELD tag=09 812100087D234B78800100847C0803A6 3of4
13385.00ns INFO [00001673] C0: CP 0:003AE8 0000000000003AE8
13385.00ns INFO [00001673] RELD tag=09 382100804E8000200000000000000000 4of4
13393.00ns INFO [00001674] C0: CP 0:003AEC 0000000000003AEC
13401.00ns INFO [00001675] C0: CP 0:003AF0 0000000000003AF0
13409.00ns INFO [00001676] C0: CP 0:003AF4 0000000000003AF4
13409.00ns INFO [00001676] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=806100184BFFFF190000000000000D3C00000000000000000000000000000000 WIMG:0
13409.00ns INFO [00001676] Mem Update: @0001FFBC 00000D28->00000D3C
13417.00ns INFO [00001677] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13417.00ns INFO [00001677] C0: CP 0:003AF8 0000000000003AF8
13417.00ns INFO [00001677] T0 STORE 0001FF50 tag=01 len=4 be=F0000000 data=000008F0812100087D234B780000000000000000000000000000000000000000 WIMG:0
13417.00ns INFO [00001677] Mem Update: @0001FF50 XXXXXXXX->000008F0
13425.00ns INFO [00001678] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ...................ð............
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13425.00ns INFO [00001678] C0: CP 0:003AFC 0000000000003AFC
13425.00ns INFO [00001678] T0 STORE 0001FF5C tag=02 len=4 be=000F0000 data=382100804E800020000000000000000000000000000000000000000000000000 WIMG:0
13425.00ns INFO [00001678] Mem Update: @0001FF5C XXXXXXXX->00000000
13433.00ns INFO [00001679] T0 STORE 0001FF60 tag=03 len=4 be=F0000000 data=00000000382100804E8000200000000000000000000000000000000000000000 WIMG:0
13433.00ns INFO [00001679] Mem Update: @0001FF60 XXXXXXXX->00000000
13457.00ns INFO [00001682] T0 STORE 0001FF64 tag=02 len=4 be=0F000000 data=0000000000000000382100804E80002000000000000000000000000000000000 WIMG:0
13457.00ns INFO [00001682] Mem Update: @0001FF64 XXXXXXXX->00000000
13465.00ns INFO [00001683] T0 STORE 0001FF68 tag=02 len=4 be=00F00000 data=4E80002000000000000000003821008000000000000000000000000000000000 WIMG:0
13465.00ns INFO [00001683] Mem Update: @0001FF68 XXXXXXXX->00000000
13473.00ns INFO [00001684] T0 STORE 0001FF6C tag=02 len=4 be=000F0000 data=382100804E800020000000000001C00400000000000000000000000000000000 WIMG:0
13473.00ns INFO [00001684] Mem Update: @0001FF6C XXXXXXXX->0001C004
13481.00ns INFO [00001685] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ...................ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 00000000 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13481.00ns INFO [00001685] C0: CP 0:003B00 1:003B04 0000000000003B00
13481.00ns INFO [00001685] T0 STORE 0001FF70 tag=03 len=4 be=F0000000 data=00000000382100804E8000200000000000000000000000000000000000000000 WIMG:0
13481.00ns INFO [00001685] Mem Update: @0001FF70 XXXXXXXX->00000000
13521.00ns INFO [00001690] T0 STORE 0001FF74 tag=00 len=4 be=0F000000 data=000000000000000A382100804E80002000000000000000000000000000000000 WIMG:0
13521.00ns INFO [00001690] Mem Update: @0001FF74 XXXXXXXX->0000000A
13529.00ns INFO [00001691] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ...................ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13553.00ns INFO [00001694] T0 IFETCH 00003A60 tag=08 len=16 WIMG:0 reld data:1700
13577.00ns INFO [00001697] T0 IFETCH 00003A80 tag=09 len=16 WIMG:0 reld data:1704
13593.00ns INFO [00001699] C0: CP 0:003B28 0000000000003B28
13601.00ns INFO [00001700] ...tick...
13601.00ns INFO [00001700] GPR Update: R09=0000000000000001
13601.00ns INFO [00001700] RELD tag=08 9121000881210008892900002C090000 1of4
13609.00ns INFO [00001701] RELD tag=08 4082FFD8600000006000000080010014 2of4
13617.00ns INFO [00001702] C0: CP 0:003B2C 1:003B30 0000000000003B2C
13617.00ns INFO [00001702] RELD tag=08 7C0803A6382100104E8000209421FEE0 3of4 crit
13625.00ns INFO [00001703] C0: CP 0:003B34 1:003B38 0000000000003B34
13625.00ns INFO [00001703] GPR Update: R09=0000000000000000
13625.00ns INFO [00001703] RELD tag=08 7C0802A690010124906101189081011C 4of4
13633.00ns INFO [00001704] C0: CP 0:003B3C 1:003B40 0000000000003B3C
13633.00ns INFO [00001704] GPR Update: R09=000000000001FFC0
13633.00ns INFO [00001704] RELD tag=09 3921000C80C1011C80A1011838800100 1of4 crit
13641.00ns INFO [00001705] C0: CP 0:003B44 1:003B48 0000000000003B44
13641.00ns INFO [00001705] GPR Update: R09=000000000001FF58
13641.00ns INFO [00001705] RELD tag=09 7D234B784BFFEBF97C691B7891210008 2of4
13649.00ns INFO [00001706] C0: CP 0:003B4C 0000000000003B4C
13649.00ns INFO [00001706] GPR Update: R09=000000000001FF44
13649.00ns INFO [00001706] RELD tag=09 3941000C812100087D2A4A1439400000 3of4
13657.00ns INFO [00001707] GPR Update: R04=000000000001FF44
13657.00ns INFO [00001707] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000017C0803A63821001000000000000000000000000000000000000000 WIMG:0
0 16777215 8 0
13657.00ns INFO [00001707] Mem Update: @0001FF44 XXXXXXXX->01000000
130884 16777216
13657.00ns INFO [00001707] RELD tag=09 994900003921000C7D234B784BFFFF59 4of4
13665.00ns INFO [00001708] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 01000000 00000000 00000000 000008F0 00000000 00000000 00000000 ...................ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13665.00ns INFO [00001708] T0 STORE 0001FF45 tag=01 len=1 be=04000000 data=0000000000007C0802A690010124000000000000000000000000000000000000 WIMG:0
16777216 4278255615 10 1
13665.00ns INFO [00001708] Mem Update: @0001FF44 01000000->01000000
130884 16777216
13673.00ns INFO [00001709] T0 STORE 0001FF48 tag=02 len=4 be=00F00000 data=80C1011C000000000001FFC03921000C00000000000000000000000000000000 WIMG:0
13673.00ns INFO [00001709] Mem Update: @0001FF48 XXXXXXXX->0001FFC0
13681.00ns INFO [00001710] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 01000000 0001FFC0 00000000 000008F0 00000000 00000000 00000000 ..........ÿÀ.......ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13681.00ns INFO [00001710] T0 STORE 0001FF4C tag=03 len=4 be=000F0000 data=7D234B784BFFEBF9000000000001FF5800000000000000000000000000000000 WIMG:0
13681.00ns INFO [00001710] Mem Update: @0001FF4C XXXXXXXX->0001FF58
13689.00ns INFO [00001711] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13737.00ns INFO [00001717] T0 LOAD 0001FF50 tag=00 len=4 WIMG:0 reld data:1723
13785.00ns INFO [00001723] T0 IFETCH 00002680 tag=08 len=16 WIMG:0 reld data:1727
13785.00ns INFO [00001723] RELD tag=00 00000000010000000001FFC00001FF58 1of4
13793.00ns INFO [00001724] RELD tag=00 000008F0000000000000000000000000 2of4 crit
13801.00ns INFO [00001725] RELD tag=00 0000000000000000000000000001C004 3of4
13809.00ns INFO [00001726] T0 IFETCH 000026C0 tag=09 len=16 WIMG:0 reld data:1731
13809.00ns INFO [00001726] RELD tag=00 000000000000000A0000000000000000 4of4
13817.00ns INFO [00001727] RELD tag=08 7D234B78382100904E8000209421FFD0 1of4 crit
13825.00ns INFO [00001728] RELD tag=08 7C0802A690010034906100189081001C 2of4
13833.00ns INFO [00001729] RELD tag=08 90A1002090C1002480C1002480A10020 3of4
13841.00ns INFO [00001730] RELD tag=08 8081001C806100184800044D7C691B78 4of4
13849.00ns INFO [00001731] RELD tag=09 91210008814100088121001C7C0A4840 1of4 crit
13857.00ns INFO [00001732] C0: CP 0:003B50 1:003B54 0000000000003B50
13857.00ns INFO [00001732] RELD tag=09 418000108121001C3929FFFF48000008 2of4
13865.00ns INFO [00001733] C0: CP 0:003A6C 1:003A70 0000000000003A6C
13865.00ns INFO [00001733] GPR Update: R03=00000000000008F0
13865.00ns INFO [00001733] LR Update:0000000000003B58
13865.00ns INFO [00001733] RELD tag=09 812100087D234B78800100347C0803A6 3of4
13873.00ns INFO [00001734] C0: CP 0:003A74 0000000000003A74
13873.00ns INFO [00001734] GPR Update: R00=0000000000003B58
13873.00ns INFO [00001734] GPR Update: R01=000000000001FE18
13873.00ns INFO [00001734] RELD tag=09 382100304E8000209421FF807C0802A6 4of4
13889.00ns INFO [00001736] C0: CP 0:003A78 0000000000003A78
13897.00ns INFO [00001737] C0: CP 0:003A7C 1:003A80 0000000000003A7C
13905.00ns INFO [00001738] GPR Update: R09=000000000001FE24
13905.00ns INFO [00001738] T0 STORE 0001FE18 tag=00 len=4 be=00F00000 data=7D234B78000000000001FF388121000800000000000000000000000000000000 WIMG:0
13905.00ns INFO [00001738] Mem Update: @0001FE18 XXXXXXXX->0001FF38
13913.00ns INFO [00001739] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ..........................ÿ8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13913.00ns INFO [00001739] T0 STORE 0001FF3C tag=01 len=4 be=000F0000 data=382100304E8000200000000000003B5800000000000000000000000000000000 WIMG:0
13913.00ns INFO [00001739] Mem Update: @0001FF3C XXXXXXXX->00003B58
13921.00ns INFO [00001740] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ..........................ÿ8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00003B58 ..........................ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13929.00ns INFO [00001741] T0 STORE 0001FF30 tag=02 len=4 be=F0000000 data=000008F0382100304E8000200000000000000000000000000000000000000000 WIMG:0
13929.00ns INFO [00001741] Mem Update: @0001FF30 XXXXXXXX->000008F0
13937.00ns INFO [00001742] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ..........................ÿ8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 00000000 0001FFB8 00003B58 ...................ð......ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13937.00ns INFO [00001742] T0 STORE 0001FF34 tag=03 len=4 be=0F000000 data=000000000001FF44382100304E80002000000000000000000000000000000000 WIMG:0
13937.00ns INFO [00001742] Mem Update: @0001FF34 XXXXXXXX->0001FF44
13945.00ns INFO [00001743] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ..........................ÿ8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13993.00ns INFO [00001749] T0 LOAD 0001FF34 tag=00 len=4 WIMG:0 reld data:1755
14001.00ns INFO [00001750] T0 IFETCH 00002B00 tag=08 len=16 WIMG:0 reld data:1759
14025.00ns INFO [00001753] T0 IFETCH 00002B40 tag=09 len=16 WIMG:0 reld data:1763
14041.00ns INFO [00001755] RELD tag=00 00000000000000000000000000000000 1of4
14049.00ns INFO [00001756] RELD tag=00 00000000000000000000000000000000 2of4
14057.00ns INFO [00001757] RELD tag=00 00000000000000000000000000000000 3of4
14065.00ns INFO [00001758] RELD tag=00 000008F00001FF440001FFB800003B58 4of4 crit
14073.00ns INFO [00001759] RELD tag=08 4E8000209421FFA07C0802A690010064 1of4 crit
14081.00ns INFO [00001760] RELD tag=08 906100489081004C90A1005090C10054 2of4
14089.00ns INFO [00001761] RELD tag=08 8121004C2C0900004080000C39200000 3of4
14097.00ns INFO [00001762] RELD tag=08 48000D3C812100489121001881410048 4of4
14105.00ns INFO [00001763] RELD tag=09 8121004C7D2A4A149121001C8141001C 1of4 crit
14113.00ns INFO [00001764] RELD tag=09 812100487C0A484040800CBC3920FFFF 2of4
14121.00ns INFO [00001765] RELD tag=09 9121001C8141001C812100487D295050 3of4
14129.00ns INFO [00001766] C0: CP 0:003A84 0000000000003A84
14129.00ns INFO [00001766] RELD tag=09 9121004C48000CA08121005089290000 4of4
14137.00ns INFO [00001767] GPR Update: R06=000000000001FF44
14201.00ns INFO [00001775] C0: CP 0:003A88 1:003A8C 0000000000003A88
14209.00ns INFO [00001776] C0: CP 0:003A90 1:003A94 0000000000003A90
14209.00ns INFO [00001776] GPR Update: R04=0000000000000100
14209.00ns INFO [00001776] GPR Update: R05=00000000000008F0
14217.00ns INFO [00001777] C0: CP 0:00268C 1:002690 000000000000268C
14217.00ns INFO [00001777] GPR Update: R03=000000000001FE24
14217.00ns INFO [00001777] LR Update:0000000000003A98
14225.00ns INFO [00001778] C0: CP 0:002694 1:002698 0000000000002694
14225.00ns INFO [00001778] GPR Update: R00=0000000000003A98
14225.00ns INFO [00001778] GPR Update: R01=000000000001FDE8
14233.00ns INFO [00001779] C0: CP 0:00269C 1:0026A0 000000000000269C
14241.00ns INFO [00001780] C0: CP 0:0026A4 00000000000026A4
14257.00ns INFO [00001782] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=48000CA0000000000001FE189121004C00000000000000000000000000000000 WIMG:0
14257.00ns INFO [00001782] Mem Update: @0001FDE8 XXXXXXXX->0001FE18
14265.00ns INFO [00001783] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ..........................ÿ8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14265.00ns INFO [00001783] T0 STORE 0001FE1C tag=01 len=4 be=000F0000 data=9121004C48000CA00000000000003A9800000000000000000000000000000000 WIMG:0
14265.00ns INFO [00001783] Mem Update: @0001FE1C XXXXXXXX->00003A98
14273.00ns INFO [00001784] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A98 ..........................ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14273.00ns INFO [00001784] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=0001FE249121004C48000CA00000000000000000000000000000000000000000 WIMG:0
14273.00ns INFO [00001784] Mem Update: @0001FE00 XXXXXXXX->0001FE24
14281.00ns INFO [00001785] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 0001FE24 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A98 ..þ$......................ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14281.00ns INFO [00001785] T0 STORE 0001FE04 tag=03 len=4 be=0F000000 data=00000000000001009121004C48000CA000000000000000000000000000000000 WIMG:0
14281.00ns INFO [00001785] Mem Update: @0001FE04 XXXXXXXX->00000100
14289.00ns INFO [00001786] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 0001FE24 00000100 00000000 00000000 00000000 00000000 0001FF38 00003A98 ..þ$......................ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14289.00ns INFO [00001786] T0 IFETCH 00003860 tag=08 len=16 WIMG:0 reld data:1792
14321.00ns INFO [00001790] T0 STORE 0001FE08 tag=00 len=4 be=00F00000 data=48000CA000000000000008F09121004C00000000000000000000000000000000 WIMG:0
14321.00ns INFO [00001790] Mem Update: @0001FE08 XXXXXXXX->000008F0
14329.00ns INFO [00001791] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 0001FE24 00000100 000008F0 00000000 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..............ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14329.00ns INFO [00001791] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=9121004C48000CA0000000000001FF4400000000000000000000000000000000 WIMG:0
14329.00ns INFO [00001791] Mem Update: @0001FE0C XXXXXXXX->0001FF44
14337.00ns INFO [00001792] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14337.00ns INFO [00001792] RELD tag=08 81210018394000009949000048000014 1of4
14345.00ns INFO [00001793] RELD tag=08 8121001C3929FFFF3940000099490000 2of4
14353.00ns INFO [00001794] RELD tag=08 81410018812100487D2950507D234B78 3of4 crit
14361.00ns INFO [00001795] RELD tag=08 800100647C0803A6382100604E800020 4of4
14385.00ns INFO [00001798] T0 LOAD 0001FE0C tag=00 len=4 WIMG:0 reld data:1804
14401.00ns INFO [00001800] ...tick...
14433.00ns INFO [00001804] RELD tag=00 0001FE2400000100000008F00001FF44 1of4 crit
14441.00ns INFO [00001805] RELD tag=00 00000000000000000001FF3800003A98 2of4
14449.00ns INFO [00001806] RELD tag=00 00000000000000000000000000000000 3of4
14457.00ns INFO [00001807] RELD tag=00 00000000000000000000000000000000 4of4
14497.00ns INFO [00001812] C0: CP 0:0026A8 00000000000026A8
14505.00ns INFO [00001813] GPR Update: R06=000000000001FF44
14593.00ns INFO [00001824] C0: CP 0:0026AC 00000000000026AC
14601.00ns INFO [00001825] C0: CP 0:0026B0 00000000000026B0
14601.00ns INFO [00001825] GPR Update: R05=00000000000008F0
14609.00ns INFO [00001826] C0: CP 0:0026B4 1:0026B8 00000000000026B4
14609.00ns INFO [00001826] GPR Update: R04=0000000000000100
14617.00ns INFO [00001827] C0: CP 0:002B04 1:002B08 0000000000002B04
14617.00ns INFO [00001827] GPR Update: R03=000000000001FE24
14617.00ns INFO [00001827] LR Update:00000000000026BC
14625.00ns INFO [00001828] C0: CP 0:002B0C 1:002B10 0000000000002B0C
14625.00ns INFO [00001828] GPR Update: R00=00000000000026BC
14625.00ns INFO [00001828] GPR Update: R01=000000000001FD88
14633.00ns INFO [00001829] C0: CP 0:002B14 0000000000002B14
14641.00ns INFO [00001830] C0: CP 0:002B18 0000000000002B18
14649.00ns INFO [00001831] C0: CP 0:002B1C 0000000000002B1C
14657.00ns INFO [00001832] T0 STORE 0001FD88 tag=00 len=4 be=00F00000 data=00000000000000000001FDE80000000000000000000000000000000000000000 WIMG:0
14657.00ns INFO [00001832] Mem Update: @0001FD88 XXXXXXXX->0001FDE8
14665.00ns INFO [00001833] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ..........þ.....................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14681.00ns INFO [00001835] T0 STORE 0001FDEC tag=01 len=4 be=000F0000 data=000000000000000000000000000026BC00000000000000000000000000000000 WIMG:0
14681.00ns INFO [00001835] Mem Update: @0001FDEC XXXXXXXX->000026BC
14689.00ns INFO [00001836] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14689.00ns INFO [00001836] T0 STORE 0001FDD0 tag=02 len=4 be=F0000000 data=0001FE2400000000000000000000000000000000000000000000000000000000 WIMG:0
14689.00ns INFO [00001836] Mem Update: @0001FDD0 XXXXXXXX->0001FE24
14697.00ns INFO [00001837] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000000 00000000 00000000 ..................þ$............
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14697.00ns INFO [00001837] T0 STORE 0001FDD4 tag=02 len=4 be=0F000000 data=0000000000000100000000000000000000000000000000000000000000000000 WIMG:0
14697.00ns INFO [00001837] Mem Update: @0001FDD4 XXXXXXXX->00000100
14705.00ns INFO [00001838] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 00000000 00000000 ..................þ$............
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14705.00ns INFO [00001838] T0 STORE 0001FDD8 tag=03 len=4 be=00F00000 data=0000000000000000000008F00000000000000000000000000000000000000000 WIMG:0
14705.00ns INFO [00001838] Mem Update: @0001FDD8 XXXXXXXX->000008F0
14713.00ns INFO [00001839] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 00000000 ..................þ$.......ð....
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14745.00ns INFO [00001843] T0 STORE 0001FDDC tag=00 len=4 be=000F0000 data=0000000000000000000000000001FF4400000000000000000000000000000000 WIMG:0
14745.00ns INFO [00001843] Mem Update: @0001FDDC XXXXXXXX->0001FF44
14753.00ns INFO [00001844] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ..................þ$.......ð..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14801.00ns INFO [00001850] T0 LOAD 0001FDD4 tag=00 len=4 WIMG:0 reld data:1856
14849.00ns INFO [00001856] RELD tag=00 00000000000000000000000000000000 1of4
14857.00ns INFO [00001857] RELD tag=00 0001FE2400000100000008F00001FF44 2of4 crit
14865.00ns INFO [00001858] RELD tag=00 00000000000000000001FE18000026BC 3of4
14873.00ns INFO [00001859] RELD tag=00 00000000000000000000000000000000 4of4
14921.00ns INFO [00001865] C0: CP 0:002B20 0000000000002B20
14929.00ns INFO [00001866] GPR Update: R09=0000000000000100
14945.00ns INFO [00001868] C0: CP 0:002B24 0000000000002B24
14953.00ns INFO [00001869] C0: CP 0:002B28 0000000000002B28
14953.00ns INFO [00001869] CR Update: F0=4
15073.00ns INFO [00001884] T0 IFETCH 00003810 tag=08 len=16 WIMG:0 reld data:1890
15105.00ns INFO [00001888] C0: CP 0:002B34 0000000000002B34
15113.00ns INFO [00001889] GPR Update: R09=000000000001FE24
15121.00ns INFO [00001890] C0: CP 0:002B38 1:002B3C 0000000000002B38
15121.00ns INFO [00001890] RELD tag=08 7C691B78912100188121005039290001 1of4
15129.00ns INFO [00001891] C0: CP 0:002B40 0000000000002B40
15129.00ns INFO [00001891] GPR Update: R10=000000000001FE24
15129.00ns INFO [00001891] RELD tag=08 9121005081210050892900002C090000 2of4 crit
15137.00ns INFO [00001892] C0: CP 0:002B44 0000000000002B44
15137.00ns INFO [00001892] GPR Update: R09=0000000000000100
15137.00ns INFO [00001892] RELD tag=08 4082F3588121004C2C09000041820034 3of4
15145.00ns INFO [00001893] GPR Update: R09=000000000001FF24
15145.00ns INFO [00001893] RELD tag=08 814100188121001C7C0A484040800014 4of4
15153.00ns INFO [00001894] C0: CP 0:002B48 0000000000002B48
15177.00ns INFO [00001897] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE244082F3588121004C0000000000000000000000000000000000000000 WIMG:0
15177.00ns INFO [00001897] Mem Update: @0001FDA0 XXXXXXXX->0001FE24
15185.00ns INFO [00001898] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE24 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ..þ$............................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ..................þ$.......ð..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15193.00ns INFO [00001899] T0 STORE 0001FDA4 tag=01 len=4 be=0F000000 data=000000000001FF24814100188121001C00000000000000000000000000000000 WIMG:0
15193.00ns INFO [00001899] Mem Update: @0001FDA4 XXXXXXXX->0001FF24
15201.00ns INFO [00001900] ...tick...
15201.00ns INFO [00001900] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ$..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ..................þ$.......ð..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15257.00ns INFO [00001907] T0 LOAD 0001FDA4 tag=00 len=4 WIMG:0 reld data:1913
15305.00ns INFO [00001913] RELD tag=00 00000000000000000001FDE800000000 1of4
15313.00ns INFO [00001914] RELD tag=00 00000000000000000000000000000000 2of4
15321.00ns INFO [00001915] RELD tag=00 0001FE240001FF240000000000000000 3of4 crit
15329.00ns INFO [00001916] RELD tag=00 00000000000000000000000000000000 4of4
15385.00ns INFO [00001923] C0: CP 0:002B4C 1:002B50 0000000000002B4C
15385.00ns INFO [00001923] T0 IFETCH 00002B80 tag=08 len=16 WIMG:0 reld data:1929
15393.00ns INFO [00001924] GPR Update: R09=000000000001FE24
15393.00ns INFO [00001924] GPR Update: R10=000000000001FF24
15409.00ns INFO [00001926] C0: CP 0:002B54 0000000000002B54
15409.00ns INFO [00001926] T0 IFETCH 00002BC0 tag=09 len=16 WIMG:0 reld data:1933
15417.00ns INFO [00001927] C0: CP 0:002B58 0000000000002B58
15417.00ns INFO [00001927] CR Update: F0=4
15433.00ns INFO [00001929] RELD tag=08 2809002541820034814100188121001C 1of4 crit
15441.00ns INFO [00001930] RELD tag=08 7C0A4840408000148121005089490000 2of4
15449.00ns INFO [00001931] RELD tag=08 81210018994900008121001839290001 3of4
15457.00ns INFO [00001932] RELD tag=08 9121001848000C543920000091210024 4of4
15465.00ns INFO [00001933] RELD tag=09 81210050392900019121005081210050 1of4 crit
15473.00ns INFO [00001934] RELD tag=09 892900003929FFE0280900104181007C 2of4
15481.00ns INFO [00001935] RELD tag=09 552A103A3D20000039290A687D2A4A14 3of4
15489.00ns INFO [00001936] RELD tag=09 814900003D20000039290A687D2A4A14 4of4
15569.00ns INFO [00001946] C0: CP 0:003814 0000000000003814
15577.00ns INFO [00001947] GPR Update: R09=00000000000008F0
15601.00ns INFO [00001950] C0: CP 0:003818 0000000000003818
15609.00ns INFO [00001951] C0: CP 0:00381C 000000000000381C
15609.00ns INFO [00001951] GPR Update: R09=000000000000006D
15617.00ns INFO [00001952] C0: CP 0:003820 0000000000003820
15617.00ns INFO [00001952] CR Update: F0=4
15665.00ns INFO [00001958] T0 IFETCH 00002B80 tag=08 len=16 WIMG:0 reld data:1964
15689.00ns INFO [00001961] T0 IFETCH 00002BC0 tag=09 len=16 WIMG:0 reld data:1968
15713.00ns INFO [00001964] RELD tag=08 2809002541820034814100188121001C 1of4 crit
15721.00ns INFO [00001965] RELD tag=08 7C0A4840408000148121005089490000 2of4
15729.00ns INFO [00001966] RELD tag=08 81210018994900008121001839290001 3of4
15737.00ns INFO [00001967] RELD tag=08 9121001848000C543920000091210024 4of4
15745.00ns INFO [00001968] RELD tag=09 81210050392900019121005081210050 1of4 crit
15753.00ns INFO [00001969] RELD tag=09 892900003929FFE0280900104181007C 2of4
15761.00ns INFO [00001970] RELD tag=09 552A103A3D20000039290A687D2A4A14 3of4
15769.00ns INFO [00001971] C0: CP 0:002B78 0000000000002B78
15769.00ns INFO [00001971] RELD tag=09 814900003D20000039290A687D2A4A14 4of4
15777.00ns INFO [00001972] GPR Update: R09=00000000000008F0
15801.00ns INFO [00001975] C0: CP 0:002B7C 0000000000002B7C
15809.00ns INFO [00001976] GPR Update: R09=000000000000006D
15841.00ns INFO [00001980] C0: CP 0:002B80 0000000000002B80
15849.00ns INFO [00001981] C0: CP 0:002B84 0000000000002B84
15849.00ns INFO [00001981] CR Update: F0=4
15881.00ns INFO [00001985] C0: CP 0:002B88 0000000000002B88
15889.00ns INFO [00001986] C0: CP 0:002B8C 0000000000002B8C
15889.00ns INFO [00001986] GPR Update: R10=000000000001FE24
15897.00ns INFO [00001987] GPR Update: R09=000000000001FF24
15913.00ns INFO [00001989] C0: CP 0:002B90 0000000000002B90
15921.00ns INFO [00001990] CR Update: F0=8
15929.00ns INFO [00001991] C0: CP 0:002B94 0000000000002B94
15961.00ns INFO [00001995] C0: CP 0:002B98 0000000000002B98
15969.00ns INFO [00001996] GPR Update: R09=00000000000008F0
15993.00ns INFO [00001999] C0: CP 0:002B9C 0000000000002B9C
16001.00ns INFO [00002000] ...tick...
16001.00ns INFO [00002000] C0: CP 0:002BA0 0000000000002BA0
16001.00ns INFO [00002000] GPR Update: R10=000000000000006D
16009.00ns INFO [00002001] C0: CP 0:002BA4 0000000000002BA4
16009.00ns INFO [00002001] GPR Update: R09=000000000001FE24
16017.00ns INFO [00002002] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
16025.00ns INFO [00002003] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
16025.00ns INFO [00002003] GPR Update: R09=000000000001FE25
16033.00ns INFO [00002004] C0: CP 0:003808 1:00380C 0000000000003808
16041.00ns INFO [00002005] C0: CP 0:003810 0000000000003810
16041.00ns INFO [00002005] GPR Update: R09=00000000000008F1
16049.00ns INFO [00002006] T0 STORE 0001FE24 tag=00 len=1 be=08000000 data=000000006D814900003D20000000000000000000000000000000000000000000 WIMG:0
0 16777215 8 0
16049.00ns INFO [00002006] Mem Update: @0001FE24 XXXXXXXX->6D000000
130596 1828716544
16057.00ns INFO [00002007] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ$..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ..................þ$.......ð..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16081.00ns INFO [00002010] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE25814900003D2000000000000000000000000000000000000000000000 WIMG:0
16081.00ns INFO [00002010] Mem Update: @0001FDA0 0001FE24->0001FE25
16089.00ns INFO [00002011] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ%..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ..................þ$.......ð..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16105.00ns INFO [00002013] T0 STORE 0001FDD8 tag=01 len=4 be=00F00000 data=3D20000000000000000008F18149000000000000000000000000000000000000 WIMG:0
16105.00ns INFO [00002013] Mem Update: @0001FDD8 000008F0->000008F1
16113.00ns INFO [00002014] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ%..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ..................þ$.......ñ..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16201.00ns INFO [00002025] C0: CP 0:003814 0000000000003814
16209.00ns INFO [00002026] GPR Update: R09=00000000000008F1
16233.00ns INFO [00002029] C0: CP 0:003818 1:00381C 0000000000003818
16241.00ns INFO [00002030] GPR Update: R09=0000000000000061
16241.00ns INFO [00002030] CR Update: F0=4
16249.00ns INFO [00002031] C0: CP 0:003820 0000000000003820
16401.00ns INFO [00002050] C0: CP 0:002B78 0000000000002B78
16409.00ns INFO [00002051] GPR Update: R09=00000000000008F1
16433.00ns INFO [00002054] C0: CP 0:002B7C 0000000000002B7C
16441.00ns INFO [00002055] C0: CP 0:002B80 0000000000002B80
16441.00ns INFO [00002055] GPR Update: R09=0000000000000061
16449.00ns INFO [00002056] C0: CP 0:002B84 1:002B88 0000000000002B84
16449.00ns INFO [00002056] CR Update: F0=4
16457.00ns INFO [00002057] C0: CP 0:002B8C 1:002B90 0000000000002B8C
16457.00ns INFO [00002057] GPR Update: R10=000000000001FE25
16465.00ns INFO [00002058] C0: CP 0:002B94 1:002B98 0000000000002B94
16465.00ns INFO [00002058] GPR Update: R09=000000000001FF24
16465.00ns INFO [00002058] CR Update: F0=8
16473.00ns INFO [00002059] C0: CP 0:002B9C 0000000000002B9C
16473.00ns INFO [00002059] GPR Update: R09=00000000000008F1
16481.00ns INFO [00002060] C0: CP 0:002BA0 0000000000002BA0
16481.00ns INFO [00002060] GPR Update: R10=0000000000000061
16489.00ns INFO [00002061] C0: CP 0:002BA4 0000000000002BA4
16489.00ns INFO [00002061] GPR Update: R09=000000000001FE25
16497.00ns INFO [00002062] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
16505.00ns INFO [00002063] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
16505.00ns INFO [00002063] GPR Update: R09=000000000001FE26
16529.00ns INFO [00002066] T0 STORE 0001FE25 tag=00 len=1 be=04000000 data=000000000061814900003D200000000000000000000000000000000000000000 WIMG:0
1828716544 4278255615 10 1
16529.00ns INFO [00002066] Mem Update: @0001FE24 6D000000->6D610000
130596 1835073536
16537.00ns INFO [00002067] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ%..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ..................þ$.......ñ..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16561.00ns INFO [00002070] C0: CP 0:003808 0000000000003808
16561.00ns INFO [00002070] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE26814900003D2000000000000000000000000000000000000000000000 WIMG:0
16561.00ns INFO [00002070] Mem Update: @0001FDA0 0001FE25->0001FE26
16569.00ns INFO [00002071] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ&..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ..................þ$.......ñ..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16569.00ns INFO [00002071] C0: CP 0:00380C 000000000000380C
16569.00ns INFO [00002071] GPR Update: R09=00000000000008F1
16577.00ns INFO [00002072] GPR Update: R09=00000000000008F2
16585.00ns INFO [00002073] C0: CP 0:003810 0000000000003810
16641.00ns INFO [00002080] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3D20000000000000000008F28149000000000000000000000000000000000000 WIMG:0
16641.00ns INFO [00002080] Mem Update: @0001FDD8 000008F1->000008F2
16649.00ns INFO [00002081] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ&..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ..................þ$.......ò..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16737.00ns INFO [00002092] C0: CP 0:003814 0000000000003814
16745.00ns INFO [00002093] GPR Update: R09=00000000000008F2
16769.00ns INFO [00002096] C0: CP 0:003818 1:00381C 0000000000003818
16777.00ns INFO [00002097] GPR Update: R09=0000000000000069
16777.00ns INFO [00002097] CR Update: F0=4
16785.00ns INFO [00002098] C0: CP 0:003820 0000000000003820
16801.00ns INFO [00002100] ...tick...
16937.00ns INFO [00002117] C0: CP 0:002B78 0000000000002B78
16945.00ns INFO [00002118] GPR Update: R09=00000000000008F2
16969.00ns INFO [00002121] C0: CP 0:002B7C 0000000000002B7C
16977.00ns INFO [00002122] C0: CP 0:002B80 0000000000002B80
16977.00ns INFO [00002122] GPR Update: R09=0000000000000069
16985.00ns INFO [00002123] C0: CP 0:002B84 1:002B88 0000000000002B84
16985.00ns INFO [00002123] CR Update: F0=4
16993.00ns INFO [00002124] C0: CP 0:002B8C 1:002B90 0000000000002B8C
16993.00ns INFO [00002124] GPR Update: R10=000000000001FE26
17001.00ns INFO [00002125] C0: CP 0:002B94 1:002B98 0000000000002B94
17001.00ns INFO [00002125] GPR Update: R09=000000000001FF24
17001.00ns INFO [00002125] CR Update: F0=8
17009.00ns INFO [00002126] C0: CP 0:002B9C 0000000000002B9C
17009.00ns INFO [00002126] GPR Update: R09=00000000000008F2
17017.00ns INFO [00002127] C0: CP 0:002BA0 0000000000002BA0
17017.00ns INFO [00002127] GPR Update: R10=0000000000000069
17025.00ns INFO [00002128] C0: CP 0:002BA4 0000000000002BA4
17025.00ns INFO [00002128] GPR Update: R09=000000000001FE26
17033.00ns INFO [00002129] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
17041.00ns INFO [00002130] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
17041.00ns INFO [00002130] GPR Update: R09=000000000001FE27
17065.00ns INFO [00002133] T0 STORE 0001FE26 tag=00 len=1 be=02000000 data=00000000000069814900003D2000000000000000000000000000000000000000 WIMG:0
1835073536 4294902015 12 2
17065.00ns INFO [00002133] Mem Update: @0001FE24 6D610000->6D616900
130596 1835100416
17073.00ns INFO [00002134] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ&..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ..................þ$.......ò..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17097.00ns INFO [00002137] C0: CP 0:003808 0000000000003808
17097.00ns INFO [00002137] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE27814900003D2000000000000000000000000000000000000000000000 WIMG:0
17097.00ns INFO [00002137] Mem Update: @0001FDA0 0001FE26->0001FE27
17105.00ns INFO [00002138] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ'..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ..................þ$.......ò..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17105.00ns INFO [00002138] C0: CP 0:00380C 000000000000380C
17105.00ns INFO [00002138] GPR Update: R09=00000000000008F2
17113.00ns INFO [00002139] GPR Update: R09=00000000000008F3
17121.00ns INFO [00002140] C0: CP 0:003810 0000000000003810
17177.00ns INFO [00002147] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3D20000000000000000008F38149000000000000000000000000000000000000 WIMG:0
17177.00ns INFO [00002147] Mem Update: @0001FDD8 000008F2->000008F3
17185.00ns INFO [00002148] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ'..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ..................þ$.......ó..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17273.00ns INFO [00002159] C0: CP 0:003814 0000000000003814
17281.00ns INFO [00002160] GPR Update: R09=00000000000008F3
17305.00ns INFO [00002163] C0: CP 0:003818 1:00381C 0000000000003818
17313.00ns INFO [00002164] GPR Update: R09=000000000000006E
17313.00ns INFO [00002164] CR Update: F0=4
17321.00ns INFO [00002165] C0: CP 0:003820 0000000000003820
17473.00ns INFO [00002184] C0: CP 0:002B78 0000000000002B78
17481.00ns INFO [00002185] GPR Update: R09=00000000000008F3
17505.00ns INFO [00002188] C0: CP 0:002B7C 0000000000002B7C
17513.00ns INFO [00002189] C0: CP 0:002B80 0000000000002B80
17513.00ns INFO [00002189] GPR Update: R09=000000000000006E
17521.00ns INFO [00002190] C0: CP 0:002B84 1:002B88 0000000000002B84
17521.00ns INFO [00002190] CR Update: F0=4
17529.00ns INFO [00002191] C0: CP 0:002B8C 1:002B90 0000000000002B8C
17529.00ns INFO [00002191] GPR Update: R10=000000000001FE27
17537.00ns INFO [00002192] C0: CP 0:002B94 1:002B98 0000000000002B94
17537.00ns INFO [00002192] GPR Update: R09=000000000001FF24
17537.00ns INFO [00002192] CR Update: F0=8
17545.00ns INFO [00002193] C0: CP 0:002B9C 0000000000002B9C
17545.00ns INFO [00002193] GPR Update: R09=00000000000008F3
17553.00ns INFO [00002194] C0: CP 0:002BA0 0000000000002BA0
17553.00ns INFO [00002194] GPR Update: R10=000000000000006E
17561.00ns INFO [00002195] C0: CP 0:002BA4 0000000000002BA4
17561.00ns INFO [00002195] GPR Update: R09=000000000001FE27
17569.00ns INFO [00002196] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
17577.00ns INFO [00002197] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
17577.00ns INFO [00002197] GPR Update: R09=000000000001FE28
17601.00ns INFO [00002200] ...tick...
17601.00ns INFO [00002200] T0 STORE 0001FE27 tag=00 len=1 be=01000000 data=000000000000006E814900003D20000000000000000000000000000000000000 WIMG:0
1835100416 4294967040 14 3
17601.00ns INFO [00002200] Mem Update: @0001FE24 6D616900->6D61696E
130596 1835100526
17609.00ns INFO [00002201] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ'..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ..................þ$.......ó..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17633.00ns INFO [00002204] C0: CP 0:003808 0000000000003808
17633.00ns INFO [00002204] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE28814900003D2000000000000000000000000000000000000000000000 WIMG:0
17633.00ns INFO [00002204] Mem Update: @0001FDA0 0001FE27->0001FE28
17641.00ns INFO [00002205] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ(..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ..................þ$.......ó..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17641.00ns INFO [00002205] C0: CP 0:00380C 000000000000380C
17641.00ns INFO [00002205] GPR Update: R09=00000000000008F3
17649.00ns INFO [00002206] GPR Update: R09=00000000000008F4
17657.00ns INFO [00002207] C0: CP 0:003810 0000000000003810
17713.00ns INFO [00002214] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3D20000000000000000008F48149000000000000000000000000000000000000 WIMG:0
17713.00ns INFO [00002214] Mem Update: @0001FDD8 000008F3->000008F4
17721.00ns INFO [00002215] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ(..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ..................þ$.......ô..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17809.00ns INFO [00002226] C0: CP 0:003814 0000000000003814
17817.00ns INFO [00002227] GPR Update: R09=00000000000008F4
17841.00ns INFO [00002230] C0: CP 0:003818 1:00381C 0000000000003818
17849.00ns INFO [00002231] GPR Update: R09=0000000000000028
17849.00ns INFO [00002231] CR Update: F0=4
17857.00ns INFO [00002232] C0: CP 0:003820 0000000000003820
18009.00ns INFO [00002251] C0: CP 0:002B78 0000000000002B78
18017.00ns INFO [00002252] GPR Update: R09=00000000000008F4
18041.00ns INFO [00002255] C0: CP 0:002B7C 0000000000002B7C
18049.00ns INFO [00002256] C0: CP 0:002B80 0000000000002B80
18049.00ns INFO [00002256] GPR Update: R09=0000000000000028
18057.00ns INFO [00002257] C0: CP 0:002B84 1:002B88 0000000000002B84
18057.00ns INFO [00002257] CR Update: F0=4
18065.00ns INFO [00002258] C0: CP 0:002B8C 1:002B90 0000000000002B8C
18065.00ns INFO [00002258] GPR Update: R10=000000000001FE28
18073.00ns INFO [00002259] C0: CP 0:002B94 1:002B98 0000000000002B94
18073.00ns INFO [00002259] GPR Update: R09=000000000001FF24
18073.00ns INFO [00002259] CR Update: F0=8
18081.00ns INFO [00002260] C0: CP 0:002B9C 0000000000002B9C
18081.00ns INFO [00002260] GPR Update: R09=00000000000008F4
18089.00ns INFO [00002261] C0: CP 0:002BA0 0000000000002BA0
18089.00ns INFO [00002261] GPR Update: R10=0000000000000028
18097.00ns INFO [00002262] C0: CP 0:002BA4 0000000000002BA4
18097.00ns INFO [00002262] GPR Update: R09=000000000001FE28
18105.00ns INFO [00002263] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
18113.00ns INFO [00002264] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
18113.00ns INFO [00002264] GPR Update: R09=000000000001FE29
18137.00ns INFO [00002267] T0 STORE 0001FE28 tag=00 len=1 be=00800000 data=000000000000000028814900003D200000000000000000000000000000000000 WIMG:0
0 16777215 16 0
18137.00ns INFO [00002267] Mem Update: @0001FE28 XXXXXXXX->28000000
130600 671088640
18145.00ns INFO [00002268] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ(..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ..................þ$.......ô..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18169.00ns INFO [00002271] C0: CP 0:003808 0000000000003808
18169.00ns INFO [00002271] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE29814900003D2000000000000000000000000000000000000000000000 WIMG:0
18169.00ns INFO [00002271] Mem Update: @0001FDA0 0001FE28->0001FE29
18177.00ns INFO [00002272] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ)..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ..................þ$.......ô..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18177.00ns INFO [00002272] C0: CP 0:00380C 000000000000380C
18177.00ns INFO [00002272] GPR Update: R09=00000000000008F4
18185.00ns INFO [00002273] GPR Update: R09=00000000000008F5
18193.00ns INFO [00002274] C0: CP 0:003810 0000000000003810
18249.00ns INFO [00002281] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3D20000000000000000008F58149000000000000000000000000000000000000 WIMG:0
18249.00ns INFO [00002281] Mem Update: @0001FDD8 000008F4->000008F5
18257.00ns INFO [00002282] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ)..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F5 0001FF44 ..................þ$.......õ..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18345.00ns INFO [00002293] C0: CP 0:003814 0000000000003814
18353.00ns INFO [00002294] GPR Update: R09=00000000000008F5
18377.00ns INFO [00002297] C0: CP 0:003818 1:00381C 0000000000003818
18385.00ns INFO [00002298] GPR Update: R09=0000000000000025
18385.00ns INFO [00002298] CR Update: F0=4
18393.00ns INFO [00002299] C0: CP 0:003820 0000000000003820
18401.00ns INFO [00002300] ...tick...
18545.00ns INFO [00002318] C0: CP 0:002B78 0000000000002B78
18553.00ns INFO [00002319] GPR Update: R09=00000000000008F5
18577.00ns INFO [00002322] C0: CP 0:002B7C 0000000000002B7C
18585.00ns INFO [00002323] C0: CP 0:002B80 0000000000002B80
18585.00ns INFO [00002323] GPR Update: R09=0000000000000025
18593.00ns INFO [00002324] C0: CP 0:002B84 0000000000002B84
18593.00ns INFO [00002324] CR Update: F0=2
18681.00ns INFO [00002335] T0 IFETCH 00002C00 tag=08 len=16 WIMG:0 reld data:2341
18705.00ns INFO [00002338] T0 IFETCH 00002C40 tag=09 len=16 WIMG:0 reld data:2345
18713.00ns INFO [00002339] C0: CP 0:002BB8 0000000000002BB8
18721.00ns INFO [00002340] GPR Update: R09=0000000000000000
18729.00ns INFO [00002341] RELD tag=08 7D2903A64E8004208121002461290010 1of4 crit
18737.00ns INFO [00002342] C0: CP 0:002BBC 0000000000002BBC
18737.00ns INFO [00002342] RELD tag=08 912100244BFFFFAC8121002461290004 2of4
18745.00ns INFO [00002343] RELD tag=08 912100244BFFFF9C8121002461290008 3of4
18753.00ns INFO [00002344] C0: CP 0:002BC0 1:002BC4 0000000000002BC0
18753.00ns INFO [00002344] RELD tag=08 912100244BFFFF8C8121002461290020 4of4
18761.00ns INFO [00002345] GPR Update: R09=00000000000008F6
18761.00ns INFO [00002345] RELD tag=09 912100244BFFFF7C8121002461290001 1of4 crit
18769.00ns INFO [00002346] RELD tag=09 912100244BFFFF6C3920FFFF91210028 2of4
18777.00ns INFO [00002347] C0: CP 0:002BC8 0000000000002BC8
18777.00ns INFO [00002347] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=912100244BFFFFAC000000000000000000000000000000000000000000000000 WIMG:0
18777.00ns INFO [00002347] Mem Update: @0001FDAC XXXXXXXX->00000000
18777.00ns INFO [00002347] RELD tag=09 81210050892900007D2A4B783D200000 3of4
18785.00ns INFO [00002348] RELD tag=09 392909047D2950AE5529077A2C090000 4of4
18833.00ns INFO [00002354] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=7D2950AE00000000000008F63929090400000000000000000000000000000000 WIMG:0
18833.00ns INFO [00002354] Mem Update: @0001FDD8 000008F5->000008F6
18841.00ns INFO [00002355] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ)..ÿ$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18929.00ns INFO [00002366] C0: CP 0:002BCC 0000000000002BCC
18937.00ns INFO [00002367] GPR Update: R09=00000000000008F6
18961.00ns INFO [00002370] C0: CP 0:002BD0 0000000000002BD0
18969.00ns INFO [00002371] C0: CP 0:002BD4 0000000000002BD4
18969.00ns INFO [00002371] GPR Update: R09=0000000000000069
18977.00ns INFO [00002372] C0: CP 0:002BD8 0000000000002BD8
18977.00ns INFO [00002372] GPR Update: R09=0000000000000049
18985.00ns INFO [00002373] C0: CP 0:002BDC 0000000000002BDC
18985.00ns INFO [00002373] CR Update: F0=4
19049.00ns INFO [00002381] T0 IFETCH 00002C80 tag=08 len=16 WIMG:0 reld data:2387
19073.00ns INFO [00002384] T0 IFETCH 00002CC0 tag=09 len=16 WIMG:0 reld data:2391
19097.00ns INFO [00002387] RELD tag=08 4182001C392100507D234B784BFFF501 1of4 crit
19105.00ns INFO [00002388] C0: CP 0:002C58 0000000000002C58
19105.00ns INFO [00002388] RELD tag=08 7C691B78912100284800009C81210050 2of4
19113.00ns INFO [00002389] GPR Update: R09=FFFFFFFFFFFFFFFF
19113.00ns INFO [00002389] RELD tag=08 892900002809002A4082008C81210050 3of4
19121.00ns INFO [00002390] RELD tag=08 39290001912100508121005489290000 4of4
19129.00ns INFO [00002391] C0: CP 0:002C5C 0000000000002C5C
19129.00ns INFO [00002391] RELD tag=09 28090007418100308121005481490008 1of4 crit
19137.00ns INFO [00002392] RELD tag=09 8121005489290000390900015507063E 2of4
19145.00ns INFO [00002393] C0: CP 0:002C60 0000000000002C60
19145.00ns INFO [00002393] RELD tag=09 8101005498E800005529103A7D2A4A14 3of4
19153.00ns INFO [00002394] GPR Update: R09=00000000000008F6
19153.00ns INFO [00002394] RELD tag=09 48000018812100548129000439090004 4of4
19177.00ns INFO [00002397] C0: CP 0:002C64 0000000000002C64
19185.00ns INFO [00002398] C0: CP 0:002C68 1:002C6C 0000000000002C68
19185.00ns INFO [00002398] GPR Update: R09=0000000000000069
19185.00ns INFO [00002398] T0 STORE 0001FDB0 tag=00 len=4 be=F0000000 data=FFFFFFFF8101005498E80000FFFFFFFF00000000000000000000000000000000 WIMG:0
19185.00ns INFO [00002398] Mem Update: @0001FDB0 XXXXXXXX->FFFFFFFF
19193.00ns INFO [00002399] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF 00000000 00000000 00000000 ..þ)..ÿ$........ÿÿÿÿ............
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19193.00ns INFO [00002399] C0: CP 0:002C70 0000000000002C70
19193.00ns INFO [00002399] GPR Update: R09=0000000000000000
19193.00ns INFO [00002399] GPR Update: R10=0000000000000069
19193.00ns INFO [00002399] T0 IFETCH 00002180 tag=08 len=16 WIMG:0 reld data:2405
19201.00ns INFO [00002400] ...tick...
19201.00ns INFO [00002400] GPR Update: R09=0000000000000904
19201.00ns INFO [00002400] T0 LOAD 0000096D tag=00 len=1 WIMG:0 reld data:2409
19233.00ns INFO [00002404] T0 IFETCH 000021C0 tag=09 len=16 WIMG:0 reld data:2413
19241.00ns INFO [00002405] RELD tag=08 7C0803A6382100204E8000209421FFE0 1of4 crit
19249.00ns INFO [00002406] RELD tag=08 90610018392000009121000848000030 2of4
19257.00ns INFO [00002407] RELD tag=08 812100081D49000A8121001881290000 3of4
19265.00ns INFO [00002408] RELD tag=08 38E900018101001890E8000089290000 4of4
19273.00ns INFO [00002409] RELD tag=00 10101010104141414141410101010101 1of4
19281.00ns INFO [00002410] RELD tag=00 01010101010101010101010101010110 2of4
19289.00ns INFO [00002411] RELD tag=00 10101010104242424242420202020202 3of4 crit
19297.00ns INFO [00002412] RELD tag=00 02020202020202020202020202020210 4of4
19305.00ns INFO [00002413] RELD tag=09 7D2A4A143929FFD09121000881210018 1of4 crit
19313.00ns INFO [00002414] RELD tag=09 81290000892900007D2A4B783D200000 2of4
19321.00ns INFO [00002415] RELD tag=09 392909047D2950AE5529077A2C090000 3of4
19329.00ns INFO [00002416] RELD tag=09 4082FFB0812100087D234B7838210020 4of4
19353.00ns INFO [00002419] C0: CP 0:002C74 0000000000002C74
19361.00ns INFO [00002420] GPR Update: R09=0000000000000002
19377.00ns INFO [00002422] C0: CP 0:002C78 0000000000002C78
19385.00ns INFO [00002423] C0: CP 0:002C7C 0000000000002C7C
19385.00ns INFO [00002423] GPR Update: R09=0000000000000000
19393.00ns INFO [00002424] CR Update: F0=2
19401.00ns INFO [00002425] C0: CP 0:002C80 0000000000002C80
19465.00ns INFO [00002433] T0 IFETCH 00002CC0 tag=08 len=16 WIMG:0 reld data:2439
19489.00ns INFO [00002436] T0 IFETCH 00002D00 tag=09 len=16 WIMG:0 reld data:2443
19513.00ns INFO [00002439] RELD tag=08 28090007418100308121005481490008 1of4 crit
19521.00ns INFO [00002440] RELD tag=08 8121005489290000390900015507063E 2of4
19529.00ns INFO [00002441] RELD tag=08 8101005498E800005529103A7D2A4A14 3of4
19537.00ns INFO [00002442] RELD tag=08 48000018812100548129000439090004 4of4
19545.00ns INFO [00002443] RELD tag=09 81410054910A00048129000091210028 1of4 crit
19553.00ns INFO [00002444] C0: CP 0:002C9C 0000000000002C9C
19553.00ns INFO [00002444] RELD tag=09 812100282C0900004080001C81210028 2of4
19561.00ns INFO [00002445] GPR Update: R09=00000000000008F6
19561.00ns INFO [00002445] RELD tag=09 7D2900D0912100288121002461290010 3of4
19569.00ns INFO [00002446] RELD tag=09 912100243920FFFF9121002C81210050 4of4
19585.00ns INFO [00002448] C0: CP 0:002CA0 1:002CA4 0000000000002CA0
19593.00ns INFO [00002449] GPR Update: R09=0000000000000069
19593.00ns INFO [00002449] CR Update: F0=4
19601.00ns INFO [00002450] C0: CP 0:002CA8 0000000000002CA8
19649.00ns INFO [00002456] T0 IFETCH 00002D40 tag=08 len=16 WIMG:0 reld data:2462
19673.00ns INFO [00002459] T0 IFETCH 00002D80 tag=09 len=16 WIMG:0 reld data:2466
19697.00ns INFO [00002462] RELD tag=08 892900002809002E408200D481210050 1of4 crit
19705.00ns INFO [00002463] RELD tag=08 39290001912100508121005089290000 2of4
19713.00ns INFO [00002464] RELD tag=08 7D2A4B783D200000392909047D2950AE 3of4
19721.00ns INFO [00002465] C0: CP 0:002D34 0000000000002D34
19721.00ns INFO [00002465] RELD tag=08 5529077A2C0900004182001C39210050 4of4
19729.00ns INFO [00002466] GPR Update: R09=FFFFFFFFFFFFFFFF
19729.00ns INFO [00002466] RELD tag=09 7D234B784BFFF4097C691B789121002C 1of4 crit
19737.00ns INFO [00002467] RELD tag=09 4800007881210050892900002809002A 2of4
19745.00ns INFO [00002468] C0: CP 0:002D38 0000000000002D38
19745.00ns INFO [00002468] RELD tag=09 40820068812100503929000191210050 3of4
19753.00ns INFO [00002469] RELD tag=09 81210054892900002809000741810030 4of4
19761.00ns INFO [00002470] C0: CP 0:002D3C 0000000000002D3C
19769.00ns INFO [00002471] GPR Update: R09=00000000000008F6
19801.00ns INFO [00002475] T0 STORE 0001FDB4 tag=00 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF812100548929000000000000000000000000000000000000 WIMG:0
19801.00ns INFO [00002475] Mem Update: @0001FDB4 XXXXXXXX->FFFFFFFF
19809.00ns INFO [00002476] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF 00000000 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19857.00ns INFO [00002482] C0: CP 0:002D40 1:002D44 0000000000002D40
19865.00ns INFO [00002483] GPR Update: R09=0000000000000069
19865.00ns INFO [00002483] CR Update: F0=4
19873.00ns INFO [00002484] C0: CP 0:002D48 0000000000002D48
19913.00ns INFO [00002489] T0 IFETCH 00002E10 tag=08 len=16 WIMG:0 reld data:2495
19937.00ns INFO [00002492] T0 IFETCH 00002E40 tag=09 len=16 WIMG:0 reld data:2499
19961.00ns INFO [00002495] RELD tag=08 812900009121002C8121002C2C090000 1of4
19969.00ns INFO [00002496] RELD tag=08 4080000C392000009121002C3920FFFF 2of4 crit
19977.00ns INFO [00002497] RELD tag=08 91210030812100508929000028090068 3of4
19985.00ns INFO [00002498] RELD tag=08 4182005481210050892900002809006C 4of4
19993.00ns INFO [00002499] RELD tag=09 4182004481210050892900002809004C 1of4 crit
20001.00ns INFO [00002500] ...tick...
20001.00ns INFO [00002500] RELD tag=09 4182003481210050892900002809005A 2of4
20009.00ns INFO [00002501] RELD tag=09 4182002481210050892900002809007A 3of4
20017.00ns INFO [00002502] RELD tag=09 41820014812100508929000028090074 4of4
20097.00ns INFO [00002512] C0: CP 0:002E1C 0000000000002E1C
20105.00ns INFO [00002513] GPR Update: R09=FFFFFFFFFFFFFFFF
20161.00ns INFO [00002520] T0 IFETCH 00002E80 tag=08 len=16 WIMG:0 reld data:2526
20185.00ns INFO [00002523] T0 IFETCH 00002EC0 tag=09 len=16 WIMG:0 reld data:2530
20193.00ns INFO [00002524] C0: CP 0:002E20 0000000000002E20
20209.00ns INFO [00002526] C0: CP 0:002E24 0000000000002E24
20209.00ns INFO [00002526] RELD tag=08 4082004C812100508929000091210030 1of4 crit
20217.00ns INFO [00002527] GPR Update: R09=00000000000008F6
20217.00ns INFO [00002527] RELD tag=08 81210050392900019121005081210030 2of4
20225.00ns INFO [00002528] RELD tag=08 2C09006C408200288121005089290000 3of4
20233.00ns INFO [00002529] T0 STORE 0001FDB8 tag=00 len=4 be=00F00000 data=81210050FFFFFFFFFFFFFFFF4182001400000000000000000000000000000000 WIMG:0
20233.00ns INFO [00002529] Mem Update: @0001FDB8 XXXXXXXX->FFFFFFFF
20233.00ns INFO [00002529] RELD tag=08 2809006C408200183920004C91210030 4of4
20241.00ns INFO [00002530] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20241.00ns INFO [00002530] C0: CP 0:002E28 1:002E2C 0000000000002E28
20241.00ns INFO [00002530] RELD tag=09 8121005039290001912100503920000A 1of4 crit
20249.00ns INFO [00002531] GPR Update: R09=0000000000000069
20249.00ns INFO [00002531] CR Update: F0=4
20249.00ns INFO [00002531] RELD tag=09 9121001481210050892900003929FFDB 2of4
20257.00ns INFO [00002532] C0: CP 0:002E30 1:002E34 0000000000002E30
20257.00ns INFO [00002532] RELD tag=09 2809005341810550552A103A3D200000 3of4
20265.00ns INFO [00002533] C0: CP 0:002E38 1:002E3C 0000000000002E38
20265.00ns INFO [00002533] GPR Update: R09=00000000000008F6
20265.00ns INFO [00002533] RELD tag=09 39290AAC7D2A4A14814900003D200000 4of4
20273.00ns INFO [00002534] C0: CP 0:002E40 1:002E44 0000000000002E40
20273.00ns INFO [00002534] GPR Update: R09=0000000000000069
20273.00ns INFO [00002534] CR Update: F0=8
20281.00ns INFO [00002535] C0: CP 0:002E48 1:002E4C 0000000000002E48
20281.00ns INFO [00002535] GPR Update: R09=00000000000008F6
20289.00ns INFO [00002536] C0: CP 0:002E50 1:002E54 0000000000002E50
20289.00ns INFO [00002536] GPR Update: R09=0000000000000069
20289.00ns INFO [00002536] CR Update: F0=4
20297.00ns INFO [00002537] C0: CP 0:002E58 1:002E5C 0000000000002E58
20297.00ns INFO [00002537] GPR Update: R09=00000000000008F6
20305.00ns INFO [00002538] C0: CP 0:002E60 0000000000002E60
20305.00ns INFO [00002538] GPR Update: R09=0000000000000069
20305.00ns INFO [00002538] CR Update: F0=4
20337.00ns INFO [00002542] C0: CP 0:002E64 0000000000002E64
20345.00ns INFO [00002543] GPR Update: R09=00000000000008F6
20369.00ns INFO [00002546] C0: CP 0:002E68 1:002E6C 0000000000002E68
20377.00ns INFO [00002547] GPR Update: R09=0000000000000069
20377.00ns INFO [00002547] CR Update: F0=8
20385.00ns INFO [00002548] C0: CP 0:002E70 1:002E74 0000000000002E70
20393.00ns INFO [00002549] GPR Update: R09=00000000000008F6
20417.00ns INFO [00002552] C0: CP 0:002E78 0000000000002E78
20425.00ns INFO [00002553] C0: CP 0:002E7C 0000000000002E7C
20425.00ns INFO [00002553] GPR Update: R09=0000000000000069
20433.00ns INFO [00002554] C0: CP 0:002E80 0000000000002E80
20433.00ns INFO [00002554] CR Update: F0=8
20505.00ns INFO [00002563] T0 IFETCH 00002F00 tag=08 len=16 WIMG:0 reld data:2569
20529.00ns INFO [00002566] T0 IFETCH 00002F40 tag=09 len=16 WIMG:0 reld data:2573
20553.00ns INFO [00002569] C0: CP 0:002ECC 0000000000002ECC
20553.00ns INFO [00002569] RELD tag=08 39290AAC7D2A4A147D2903A64E800420 1of4 crit
20561.00ns INFO [00002570] GPR Update: R09=000000000000000A
20561.00ns INFO [00002570] RELD tag=08 81210024552906F62C09000040820048 2of4
20569.00ns INFO [00002571] RELD tag=08 4800002C814100188121001C7C0A4840 3of4
20577.00ns INFO [00002572] RELD tag=08 40800010812100183940002099490000 4of4
20585.00ns INFO [00002573] C0: CP 0:002ED0 0000000000002ED0
20585.00ns INFO [00002573] RELD tag=09 81210018392900019121001881210028 1of4 crit
20593.00ns INFO [00002574] RELD tag=09 3929FFFF91210028812100282C090000 2of4
20601.00ns INFO [00002575] C0: CP 0:002ED4 0000000000002ED4
20601.00ns INFO [00002575] RELD tag=09 4181FFC4812100548929000028090007 3of4
20609.00ns INFO [00002576] GPR Update: R09=00000000000008F6
20609.00ns INFO [00002576] RELD tag=09 41810030812100548149000881210054 4of4
20625.00ns INFO [00002578] T0 STORE 0001FD9C tag=00 len=4 be=000F0000 data=8121001839290001000000000000000A00000000000000000000000000000000 WIMG:0
20625.00ns INFO [00002578] Mem Update: @0001FD9C XXXXXXXX->0000000A
20633.00ns INFO [00002579] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20633.00ns INFO [00002579] C0: CP 0:002ED8 1:002EDC 0000000000002ED8
20641.00ns INFO [00002580] GPR Update: R09=0000000000000044
20649.00ns INFO [00002581] C0: CP 0:002EE0 0000000000002EE0
20657.00ns INFO [00002582] C0: CP 0:002EE4 1:002EE8 0000000000002EE4
20657.00ns INFO [00002582] CR Update: F0=8
20665.00ns INFO [00002583] C0: CP 0:002EEC 1:002EF0 0000000000002EEC
20665.00ns INFO [00002583] GPR Update: R10=0000000000000110
20673.00ns INFO [00002584] C0: CP 0:002EF4 0000000000002EF4
20673.00ns INFO [00002584] GPR Update: R09=0000000000000AAC
20681.00ns INFO [00002585] GPR Update: R09=0000000000000BBC
20681.00ns INFO [00002585] T0 LOAD 00000BBC tag=00 len=4 WIMG:0 reld data:2591
20729.00ns INFO [00002591] RELD tag=00 00002988000029880000298800002988 1of4
20737.00ns INFO [00002592] RELD tag=00 00002988000029880000298800002988 2of4
20745.00ns INFO [00002593] RELD tag=00 00002988000024640000297800002988 3of4
20753.00ns INFO [00002594] RELD tag=00 00002988000029880000298800002978 4of4 crit
20761.00ns INFO [00002595] T0 IFETCH 00002F80 tag=08 len=16 WIMG:0 reld data:2601
20785.00ns INFO [00002598] T0 IFETCH 00002FC0 tag=09 len=16 WIMG:0 reld data:2605
20801.00ns INFO [00002600] ...tick...
20809.00ns INFO [00002601] RELD tag=08 89290000390900015507063E81010054 1of4 crit
20817.00ns INFO [00002602] C0: CP 0:002EF8 1:002EFC 0000000000002EF8
20817.00ns INFO [00002602] RELD tag=08 98E800005529103A7D2A4A1448000018 2of4
20825.00ns INFO [00002603] C0: CP 0:002F00 0000000000002F00
20825.00ns INFO [00002603] GPR Update: R09=0000000000000000
20825.00ns INFO [00002603] GPR Update: R10=0000000000002978
20825.00ns INFO [00002603] RELD tag=08 81210054812900043909000481410054 3of4
20833.00ns INFO [00002604] C0: CP 0:002F04 0000000000002F04
20833.00ns INFO [00002604] GPR Update: R09=0000000000000AAC
20833.00ns INFO [00002604] RELD tag=08 910A0004812900009921004481410018 4of4
20841.00ns INFO [00002605] GPR Update: R09=0000000000003424
20841.00ns INFO [00002605] RELD tag=09 8121001C7C0A48404080001081210018 1of4 crit
20849.00ns INFO [00002606] C0: CP 0:002F08 0000000000002F08
20849.00ns INFO [00002606] RELD tag=09 89410044994900008121001839290001 2of4
20857.00ns INFO [00002607] C0: CP 0:002F0C 0000000000002F0C
20857.00ns INFO [00002607] CTR Update:0000000000003424
20857.00ns INFO [00002607] RELD tag=09 912100184800002C814100188121001C 3of4
20865.00ns INFO [00002608] RELD tag=09 7C0A4840408000108121001839400020 4of4
20905.00ns INFO [00002613] T0 IFETCH 00003420 tag=08 len=16 WIMG:0 reld data:2619
20945.00ns INFO [00002618] T0 IFETCH 00003440 tag=09 len=16 WIMG:0 reld data:2623
20953.00ns INFO [00002619] RELD tag=08 3920000891210014480000A881210024 1of4
20961.00ns INFO [00002620] RELD tag=08 61290040912100243920001091210014 2of4
20969.00ns INFO [00002621] RELD tag=08 48000090812100246129000291210024 3of4 crit
20977.00ns INFO [00002622] RELD tag=08 4800007C814100188121001C7C0A4840 4of4
20985.00ns INFO [00002623] RELD tag=09 40800010812100183940002599490000 1of4 crit
20993.00ns INFO [00002624] RELD tag=09 81210018392900019121001881210050 2of4
21001.00ns INFO [00002625] RELD tag=09 892900002C0900004182003481410018 3of4
21009.00ns INFO [00002626] RELD tag=09 8121001C7C0A48404080001481210050 4of4
21121.00ns INFO [00002640] T0 IFETCH 000034A0 tag=08 len=16 WIMG:0 reld data:2646
21129.00ns INFO [00002641] C0: CP 0:003424 1:003428 0000000000003424
21137.00ns INFO [00002642] GPR Update: R09=0000000000000002
21145.00ns INFO [00002643] T0 IFETCH 000034C0 tag=09 len=16 WIMG:0 reld data:2650
21161.00ns INFO [00002645] C0: CP 0:00342C 1:003430 000000000000342C
21169.00ns INFO [00002646] RELD tag=08 89490000812100189949000081210018 1of4
21177.00ns INFO [00002647] RELD tag=08 39290001912100184800037081210050 2of4
21185.00ns INFO [00002648] RELD tag=08 3929FFFF912100504800036060000000 3of4 crit
21193.00ns INFO [00002649] RELD tag=08 812100302C09004C4082009081210054 4of4
21201.00ns INFO [00002650] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=8121001C7C0A4840000000000000000200000000000000000000000000000000 WIMG:0
21201.00ns INFO [00002650] Mem Update: @0001FDAC 00000000->00000002
21201.00ns INFO [00002650] RELD tag=09 8929000081410054894A0000554A07FE 1of4 crit
21209.00ns INFO [00002651] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21209.00ns INFO [00002651] RELD tag=09 554A063E7D4A4A145548063E81410054 2of4
21217.00ns INFO [00002652] RELD tag=09 990A0000280900064181003081210054 3of4
21225.00ns INFO [00002653] RELD tag=09 81490008812100548929000039090002 4of4
21313.00ns INFO [00002664] C0: CP 0:0034AC 00000000000034AC
21353.00ns INFO [00002669] T0 IFETCH 00003500 tag=08 len=16 WIMG:0 reld data:2675
21377.00ns INFO [00002672] T0 IFETCH 00003540 tag=09 len=16 WIMG:0 reld data:2679
21401.00ns INFO [00002675] RELD tag=08 5507063E8101005498E800005529103A 1of4 crit
21409.00ns INFO [00002676] C0: CP 0:0034B0 1:0034B4 00000000000034B0
21409.00ns INFO [00002676] RELD tag=08 7D2A4A14480000208121005481290004 2of4
21417.00ns INFO [00002677] GPR Update: R09=00000000FFFFFFFF
21417.00ns INFO [00002677] CR Update: F0=8
21417.00ns INFO [00002677] RELD tag=08 39290007552900383909000881410054 3of4
21425.00ns INFO [00002678] C0: CP 0:0034B8 00000000000034B8
21425.00ns INFO [00002678] RELD tag=08 910A0004814900008169000491410008 4of4
21433.00ns INFO [00002679] RELD tag=09 9161000C48000298812100302C09006C 1of4 crit
21441.00ns INFO [00002680] RELD tag=09 40820088812100548929000028090007 2of4
21449.00ns INFO [00002681] RELD tag=09 41810030812100548149000881210054 3of4
21457.00ns INFO [00002682] RELD tag=09 89290000390900015507063E81010054 4of4
21561.00ns INFO [00002695] T0 IFETCH 00003580 tag=08 len=16 WIMG:0 reld data:2701
21585.00ns INFO [00002698] T0 IFETCH 000035C0 tag=09 len=16 WIMG:0 reld data:2705
21601.00ns INFO [00002700] ...tick...
21609.00ns INFO [00002701] RELD tag=08 98E800005529103A7D2A4A1448000018 1of4 crit
21617.00ns INFO [00002702] RELD tag=08 81210054812900043909000481410054 2of4
21625.00ns INFO [00002703] RELD tag=08 910A0004812900009121000C39200000 3of4
21633.00ns INFO [00002704] RELD tag=08 9121000881210024552907BC2C090000 4of4
21641.00ns INFO [00002705] C0: CP 0:003548 1:00354C 0000000000003548
21641.00ns INFO [00002705] RELD tag=09 4182021C8121000C9121000C7D29FE70 1of4 crit
21649.00ns INFO [00002706] GPR Update: R09=00000000FFFFFFFF
21649.00ns INFO [00002706] CR Update: F0=8
21649.00ns INFO [00002706] RELD tag=09 9121000848000208812100302C09005A 2of4
21657.00ns INFO [00002707] C0: CP 0:003550 0000000000003550
21657.00ns INFO [00002707] RELD tag=09 41820010812100302C09007A40820068 3of4
21665.00ns INFO [00002708] RELD tag=09 81210054892900002809000741810030 4of4
21761.00ns INFO [00002720] T0 IFETCH 00003600 tag=08 len=16 WIMG:0 reld data:2726
21785.00ns INFO [00002723] T0 IFETCH 00003640 tag=09 len=16 WIMG:0 reld data:2730
21809.00ns INFO [00002726] RELD tag=08 81210054814900088121005489290000 1of4 crit
21817.00ns INFO [00002727] RELD tag=08 390900015507063E8101005498E80000 2of4
21825.00ns INFO [00002728] RELD tag=08 5529103A7D2A4A144800001881210054 3of4
21833.00ns INFO [00002729] RELD tag=08 812900043909000481410054910A0004 4of4
21841.00ns INFO [00002730] RELD tag=09 812900009121000C3920000091210008 1of4 crit
21849.00ns INFO [00002731] C0: CP 0:0035D8 1:0035DC 00000000000035D8
21849.00ns INFO [00002731] RELD tag=09 4800018C812100302C09007440820068 2of4
21857.00ns INFO [00002732] GPR Update: R09=00000000FFFFFFFF
21857.00ns INFO [00002732] CR Update: F0=8
21857.00ns INFO [00002732] RELD tag=09 81210054892900002809000741810030 3of4
21865.00ns INFO [00002733] C0: CP 0:0035E0 1:0035E4 00000000000035E0
21865.00ns INFO [00002733] RELD tag=09 81210054814900088121005489290000 4of4
21873.00ns INFO [00002734] C0: CP 0:0035E8 1:0035EC 00000000000035E8
21873.00ns INFO [00002734] GPR Update: R09=00000000FFFFFFFF
21881.00ns INFO [00002735] CR Update: F0=8
21961.00ns INFO [00002745] T0 IFETCH 00003680 tag=08 len=16 WIMG:0 reld data:2751
21985.00ns INFO [00002748] T0 IFETCH 000036C0 tag=09 len=16 WIMG:0 reld data:2755
22009.00ns INFO [00002751] RELD tag=08 390900015507063E8101005498E80000 1of4 crit
22017.00ns INFO [00002752] RELD tag=08 5529103A7D2A4A144800001881210054 2of4
22025.00ns INFO [00002753] RELD tag=08 812900043909000481410054910A0004 3of4
22033.00ns INFO [00002754] RELD tag=08 812900009121000C7D29FE7091210008 4of4
22041.00ns INFO [00002755] RELD tag=09 4800011C812100302C09006840820090 1of4 crit
22049.00ns INFO [00002756] C0: CP 0:003654 1:003658 0000000000003654
22049.00ns INFO [00002756] RELD tag=09 81210054892900002809000741810030 2of4
22057.00ns INFO [00002757] GPR Update: R09=00000000FFFFFFFF
22057.00ns INFO [00002757] CR Update: F0=8
22057.00ns INFO [00002757] RELD tag=09 81210054814900088121005489290000 3of4
22065.00ns INFO [00002758] C0: CP 0:00365C 000000000000365C
22065.00ns INFO [00002758] RELD tag=09 390900015507063E8101005498E80000 4of4
22177.00ns INFO [00002772] T0 IFETCH 00003700 tag=08 len=16 WIMG:0 reld data:2778
22201.00ns INFO [00002775] T0 IFETCH 00003740 tag=09 len=16 WIMG:0 reld data:2782
22225.00ns INFO [00002778] RELD tag=08 5529103A7D2A4A144800001881210054 1of4 crit
22233.00ns INFO [00002779] RELD tag=08 812900043909000481410054910A0004 2of4
22241.00ns INFO [00002780] RELD tag=08 812900005529043E9121000C39200000 3of4
22249.00ns INFO [00002781] C0: CP 0:0036C4 1:0036C8 00000000000036C4
22249.00ns INFO [00002781] RELD tag=08 9121000881210024552907BC2C090000 4of4
22257.00ns INFO [00002782] GPR Update: R09=00000000FFFFFFFF
22257.00ns INFO [00002782] CR Update: F0=8
22257.00ns INFO [00002782] RELD tag=09 4182009CA121000E7D2907349121000C 1of4 crit
22265.00ns INFO [00002783] C0: CP 0:0036CC 00000000000036CC
22265.00ns INFO [00002783] RELD tag=09 7D29FE70912100084800008481210054 2of4
22273.00ns INFO [00002784] RELD tag=09 89290000280900074181003081210054 3of4
22281.00ns INFO [00002785] RELD tag=09 81490008812100548929000039090001 4of4
22377.00ns INFO [00002797] T0 IFETCH 00003780 tag=08 len=16 WIMG:0 reld data:2803
22401.00ns INFO [00002800] ...tick...
22401.00ns INFO [00002800] T0 IFETCH 000037C0 tag=09 len=16 WIMG:0 reld data:2807
22425.00ns INFO [00002803] RELD tag=08 5507063E8101005498E800005529103A 1of4 crit
22433.00ns INFO [00002804] RELD tag=08 7D2A4A14480000188121005481290004 2of4
22441.00ns INFO [00002805] RELD tag=08 3909000481410054910A000481290000 3of4
22449.00ns INFO [00002806] RELD tag=08 9121000C392000009121000881210024 4of4
22457.00ns INFO [00002807] RELD tag=09 552907BC2C090000418200148121000C 1of4 crit
22465.00ns INFO [00002808] C0: CP 0:00375C 000000000000375C
22465.00ns INFO [00002808] RELD tag=09 9121000C7D29FE70912100088141000C 2of4
22473.00ns INFO [00002809] GPR Update: R09=000000000001FF44
22473.00ns INFO [00002809] RELD tag=09 812100248101002C80E1002880C10014 3of4
22481.00ns INFO [00002810] RELD tag=09 7D4553788081001C806100184BFFEA09 4of4
22497.00ns INFO [00002812] C0: CP 0:003760 1:003764 0000000000003760
22505.00ns INFO [00002813] GPR Update: R09=0000000000000001
22505.00ns INFO [00002813] CR Update: F0=8
22513.00ns INFO [00002814] C0: CP 0:003768 1:00376C 0000000000003768
22521.00ns INFO [00002815] C0: CP 0:003770 1:003774 0000000000003770
22521.00ns INFO [00002815] GPR Update: R09=000000000001FF44
22529.00ns INFO [00002816] C0: CP 0:003778 1:00377C 0000000000003778
22529.00ns INFO [00002816] GPR Update: R09=000000000001FF44
22529.00ns INFO [00002816] GPR Update: R10=000000000001FF58
22537.00ns INFO [00002817] GPR Update: R08=0000000000000002
22537.00ns INFO [00002817] GPR Update: R09=0000000000000001
22553.00ns INFO [00002819] C0: CP 0:003780 0000000000003780
22561.00ns INFO [00002820] GPR Update: R07=0000000000000002
22585.00ns INFO [00002823] C0: CP 0:003784 0000000000003784
22593.00ns INFO [00002824] GPR Update: R08=000000000001FF44
22609.00ns INFO [00002826] C0: CP 0:003788 1:00378C 0000000000003788
22617.00ns INFO [00002827] GPR Update: R09=0000000000000004
22633.00ns INFO [00002829] C0: CP 0:003790 1:003794 0000000000003790
22641.00ns INFO [00002830] GPR Update: R09=000000000001FF5C
22649.00ns INFO [00002831] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000027D4553788081001C00000000000000000000000000000000000000 WIMG:0
16777216 16777215 8 0
22649.00ns INFO [00002831] Mem Update: @0001FF44 01000000->02000000
130884 33554432
22657.00ns INFO [00002832] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22681.00ns INFO [00002835] T0 IFETCH 00002200 tag=08 len=16 WIMG:0 reld data:2841
22705.00ns INFO [00002838] C0: CP 0:0037AC 00000000000037AC
22705.00ns INFO [00002838] T0 IFETCH 00002240 tag=09 len=16 WIMG:0 reld data:2845
22713.00ns INFO [00002839] GPR Update: R09=0000000000000000
22721.00ns INFO [00002840] C0: CP 0:0037B0 1:0037B4 00000000000037B0
22729.00ns INFO [00002841] C0: CP 0:0037B8 1:0037BC 00000000000037B8
22729.00ns INFO [00002841] GPR Update: R09=0000000000000000
22729.00ns INFO [00002841] RELD tag=08 4E8000209421FF70906100689081006C 1of4 crit
22737.00ns INFO [00002842] C0: CP 0:0037C0 00000000000037C0
22737.00ns INFO [00002842] GPR Update: R09=0000000000000002
22737.00ns INFO [00002842] RELD tag=08 90A1007090C1007490E100789101007C 2of4
22745.00ns INFO [00002843] C0: CP 0:0037C4 00000000000037C4
22745.00ns INFO [00002843] GPR Update: R09=0000000000000002
22745.00ns INFO [00002843] RELD tag=08 9121008081210080552906722C090000 3of4
22753.00ns INFO [00002844] CR Update: F0=4
22753.00ns INFO [00002844] RELD tag=08 418200103D20000039290A104800000C 4of4
22761.00ns INFO [00002845] C0: CP 0:0037C8 00000000000037C8
22761.00ns INFO [00002845] RELD tag=09 3D20000039290A389121001081210080 1of4 crit
22769.00ns INFO [00002846] RELD tag=09 552906F62C0900004182001081210080 2of4
22777.00ns INFO [00002847] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=000000000000000090A1007090C1007400000000000000000000000000000000 WIMG:0
22777.00ns INFO [00002847] Mem Update: @0001FD94 XXXXXXXX->00000000
22777.00ns INFO [00002847] RELD tag=09 5529003C91210080812100742C090001 3of4
22785.00ns INFO [00002848] RELD tag=09 40810010812100742C0900244081000C 4of4
22801.00ns INFO [00002850] T0 STORE 0001FD90 tag=01 len=4 be=F0000000 data=000000003D20000039290A380000000000000000000000000000000000000000 WIMG:0
22801.00ns INFO [00002850] Mem Update: @0001FD90 XXXXXXXX->00000000
22881.00ns INFO [00002860] C0: CP 0:0037CC 00000000000037CC
22889.00ns INFO [00002861] GPR Update: R09=0000000000000000
22897.00ns INFO [00002862] C0: CP 0:0037D0 1:0037D4 00000000000037D0
22905.00ns INFO [00002863] GPR Update: R09=0000000000000000
22905.00ns INFO [00002863] XER Update: SO/OV/CA=000 LEN=00
22913.00ns INFO [00002864] C0: CP 0:0037D8 00000000000037D8
22937.00ns INFO [00002867] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=0000000000000000408100108121007400000000000000000000000000000000 WIMG:0
22937.00ns INFO [00002867] Mem Update: @0001FD94 00000000->00000000
22953.00ns INFO [00002869] T0 STORE 0001FD90 tag=01 len=4 be=F0000000 data=0000000040810010812100740000000000000000000000000000000000000000 WIMG:0
22953.00ns INFO [00002869] Mem Update: @0001FD90 00000000->00000000
23041.00ns INFO [00002880] C0: CP 0:0037DC 00000000000037DC
23049.00ns INFO [00002881] C0: CP 0:0037E0 00000000000037E0
23049.00ns INFO [00002881] GPR Update: R10=0000000000000000
23057.00ns INFO [00002882] C0: CP 0:0037E4 00000000000037E4
23057.00ns INFO [00002882] GPR Update: R09=0000000000000002
23065.00ns INFO [00002883] C0: CP 0:0037E8 00000000000037E8
23065.00ns INFO [00002883] GPR Update: R08=00000000FFFFFFFF
23073.00ns INFO [00002884] C0: CP 0:0037EC 1:0037F0 00000000000037EC
23073.00ns INFO [00002884] GPR Update: R07=00000000FFFFFFFF
23081.00ns INFO [00002885] C0: CP 0:0037F4 00000000000037F4
23081.00ns INFO [00002885] GPR Update: R05=0000000000000000
23081.00ns INFO [00002885] GPR Update: R06=000000000000000A
23089.00ns INFO [00002886] C0: CP 0:0037F8 1:0037FC 00000000000037F8
23089.00ns INFO [00002886] GPR Update: R04=000000000001FF24
23097.00ns INFO [00002887] C0: CP 0:002204 1:002208 0000000000002204
23097.00ns INFO [00002887] GPR Update: R03=000000000001FE29
23097.00ns INFO [00002887] LR Update:0000000000003800
23105.00ns INFO [00002888] C0: CP 0:00220C 1:002210 000000000000220C
23105.00ns INFO [00002888] GPR Update: R01=000000000001FCF8
23113.00ns INFO [00002889] C0: CP 0:002214 1:002218 0000000000002214
23121.00ns INFO [00002890] C0: CP 0:00221C 000000000000221C
23137.00ns INFO [00002892] T0 STORE 0001FCF8 tag=00 len=4 be=00F00000 data=81210074000000000001FD884081001000000000000000000000000000000000 WIMG:0
23137.00ns INFO [00002892] Mem Update: @0001FCF8 XXXXXXXX->0001FD88
23145.00ns INFO [00002893] T0 STORE 0001FD60 tag=01 len=4 be=F0000000 data=0001FE2940810010812100740000000000000000000000000000000000000000 WIMG:0
23145.00ns INFO [00002893] Mem Update: @0001FD60 XXXXXXXX->0001FE29
23153.00ns INFO [00002894] Stack:
0001FD60: 0001FE29 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ..þ)............................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23153.00ns INFO [00002894] T0 STORE 0001FD64 tag=02 len=4 be=0F000000 data=000000000001FF24408100108121007400000000000000000000000000000000 WIMG:0
23153.00ns INFO [00002894] Mem Update: @0001FD64 XXXXXXXX->0001FF24
23161.00ns INFO [00002895] Stack:
0001FD60: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ..þ)..ÿ$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23161.00ns INFO [00002895] T0 IFETCH 00002280 tag=08 len=16 WIMG:0 reld data:2901
23169.00ns INFO [00002896] C0: CP 0:002220 0000000000002220
23169.00ns INFO [00002896] T0 IFETCH 000022C0 tag=09 len=16 WIMG:0 reld data:2905
23177.00ns INFO [00002897] T0 STORE 0001FD68 tag=02 len=4 be=00F00000 data=8121007400000000000000004081001000000000000000000000000000000000 WIMG:0
23177.00ns INFO [00002897] Mem Update: @0001FD68 XXXXXXXX->00000000
23185.00ns INFO [00002898] T0 STORE 0001FD6C tag=02 len=4 be=000F0000 data=4081001081210074000000000000000A00000000000000000000000000000000 WIMG:0
23185.00ns INFO [00002898] Mem Update: @0001FD6C XXXXXXXX->0000000A
23193.00ns INFO [00002899] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A 00000000 00000000 00000000 00000000 ..þ)..ÿ$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23193.00ns INFO [00002899] T0 STORE 0001FD70 tag=02 len=4 be=F0000000 data=FFFFFFFF40810010812100740000000000000000000000000000000000000000 WIMG:0
23193.00ns INFO [00002899] Mem Update: @0001FD70 XXXXXXXX->FFFFFFFF
23201.00ns INFO [00002900] ...tick...
23201.00ns INFO [00002900] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000000 00000000 00000000 ..þ)..ÿ$........ÿÿÿÿ............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23209.00ns INFO [00002901] RELD tag=08 39200000480003FC81210080552907FE 1of4 crit
23217.00ns INFO [00002902] T0 STORE 0001FD74 tag=02 len=4 be=0F000000 data=00000000FFFFFFFF408100108121007400000000000000000000000000000000 WIMG:0
23217.00ns INFO [00002902] Mem Update: @0001FD74 XXXXXXXX->FFFFFFFF
23217.00ns INFO [00002902] RELD tag=08 2C0900004182000C3920003048000008 2of4
23225.00ns INFO [00002903] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000000 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23225.00ns INFO [00002903] T0 STORE 0001FD78 tag=02 len=4 be=00F00000 data=8121007400000000000000024081001000000000000000000000000000000000 WIMG:0
23225.00ns INFO [00002903] Mem Update: @0001FD78 XXXXXXXX->00000002
23225.00ns INFO [00002903] RELD tag=08 39200020992100143920000099210008 3of4
23233.00ns INFO [00002904] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23233.00ns INFO [00002904] RELD tag=08 81210080552907BC2C09000041820080 4of4
23241.00ns INFO [00002905] RELD tag=09 812100702C090000408000283920002D 1of4 crit
23249.00ns INFO [00002906] RELD tag=09 99210008812100707D2900D091210070 2of4
23257.00ns INFO [00002907] RELD tag=09 812100783929FFFF9121007848000050 3of4
23265.00ns INFO [00002908] RELD tag=09 812100805529077A2C0900004182001C 4of4
23281.00ns INFO [00002910] T0 LOAD 0001FD78 tag=00 len=4 WIMG:0 reld data:2916
23329.00ns INFO [00002916] RELD tag=00 00000000000000000000000000000000 1of4
23337.00ns INFO [00002917] RELD tag=00 00000000000000000000000000000000 2of4
23345.00ns INFO [00002918] RELD tag=00 0001FE290001FF24000000000000000A 3of4
23353.00ns INFO [00002919] RELD tag=00 FFFFFFFFFFFFFFFF0000000200000000 4of4 crit
23489.00ns INFO [00002936] C0: CP 0:002224 0000000000002224
23497.00ns INFO [00002937] C0: CP 0:002228 0000000000002228
23497.00ns INFO [00002937] GPR Update: R09=0000000000000002
23505.00ns INFO [00002938] GPR Update: R09=0000000000000000
23513.00ns INFO [00002939] C0: CP 0:00222C 000000000000222C
23521.00ns INFO [00002940] C0: CP 0:002230 0000000000002230
23521.00ns INFO [00002940] CR Update: F0=2
23641.00ns INFO [00002955] C0: CP 0:002240 1:002244 0000000000002240
23649.00ns INFO [00002956] GPR Update: R09=0000000000000A38
23673.00ns INFO [00002959] C0: CP 0:002248 0000000000002248
23689.00ns INFO [00002961] C0: CP 0:00224C 000000000000224C
23697.00ns INFO [00002962] C0: CP 0:002250 0000000000002250
23697.00ns INFO [00002962] GPR Update: R09=0000000000000002
23705.00ns INFO [00002963] C0: CP 0:002254 0000000000002254
23705.00ns INFO [00002963] GPR Update: R09=0000000000000000
23713.00ns INFO [00002964] CR Update: F0=2
23713.00ns INFO [00002964] T0 STORE 0001FD08 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000A38FFFFFFFF00000000000000000000000000000000 WIMG:0
23713.00ns INFO [00002964] Mem Update: @0001FD08 XXXXXXXX->00000A38
23721.00ns INFO [00002965] Stack:
0001FD00: 00000000 00000000 00000A38 00000000 00000000 00000000 00000000 00000000 ...........8....................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
23721.00ns INFO [00002965] C0: CP 0:002258 0000000000002258
23873.00ns INFO [00002984] C0: CP 0:002268 1:00226C 0000000000002268
23881.00ns INFO [00002985] GPR Update: R09=000000000000000A
23881.00ns INFO [00002985] CR Update: F0=4
23889.00ns INFO [00002986] C0: CP 0:002270 1:002274 0000000000002270
23897.00ns INFO [00002987] C0: CP 0:002278 1:00227C 0000000000002278
23897.00ns INFO [00002987] GPR Update: R09=000000000000000A
23905.00ns INFO [00002988] CR Update: F0=8
24001.00ns INFO [00003000] ...tick...
24049.00ns INFO [00003006] C0: CP 0:002288 1:00228C 0000000000002288
24057.00ns INFO [00003007] GPR Update: R09=0000000000000000
24065.00ns INFO [00003008] T0 IFETCH 00002330 tag=08 len=16 WIMG:0 reld data:3014
24073.00ns INFO [00003009] C0: CP 0:002290 0000000000002290
24081.00ns INFO [00003010] C0: CP 0:002294 0000000000002294
24081.00ns INFO [00003010] CR Update: F0=2
24089.00ns INFO [00003011] T0 IFETCH 00002340 tag=09 len=16 WIMG:0 reld data:3018
24113.00ns INFO [00003014] RELD tag=08 3920002B99210008812100783929FFFF 1of4
24121.00ns INFO [00003015] RELD tag=08 91210078480000288121008055290738 2of4
24129.00ns INFO [00003016] RELD tag=08 2C090000418200183920002099210008 3of4
24137.00ns INFO [00003017] RELD tag=08 812100783929FFFF9121007881210080 4of4 crit
24145.00ns INFO [00003018] RELD tag=09 552906B42C0900004182003881210074 1of4 crit
24153.00ns INFO [00003019] RELD tag=09 2C09001040820014812100783929FFFE 2of4
24161.00ns INFO [00003020] RELD tag=09 912100784800001C812100742C090008 3of4
24169.00ns INFO [00003021] RELD tag=09 40820010812100783929FFFF91210078 4of4
24201.00ns INFO [00003025] C0: CP 0:0022A0 00000000000022A0
24209.00ns INFO [00003026] GPR Update: R09=0000000000000020
24225.00ns INFO [00003028] C0: CP 0:0022A4 1:0022A8 00000000000022A4
24233.00ns INFO [00003029] C0: CP 0:0022AC 00000000000022AC
24233.00ns INFO [00003029] GPR Update: R09=0000000000000000
24249.00ns INFO [00003031] C0: CP 0:0022B0 1:0022B4 00000000000022B0
24257.00ns INFO [00003032] GPR Update: R09=0000000000000002
24265.00ns INFO [00003033] T0 STORE 0001FD0C tag=00 len=1 be=00080000 data=1081210078000000000000002040820000000000000000000000000000000000 WIMG:0
0 16777215 24 0
24265.00ns INFO [00003033] Mem Update: @0001FD0C XXXXXXXX->20000000
130316 536870912
24273.00ns INFO [00003034] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24273.00ns INFO [00003034] C0: CP 0:0022B8 00000000000022B8
24273.00ns INFO [00003034] T0 STORE 0001FD00 tag=01 len=1 be=80000000 data=0040820010812100780000000000000000000000000000000000000000000000 WIMG:0
0 16777215 0 0
24273.00ns INFO [00003034] Mem Update: @0001FD00 XXXXXXXX->00000000
130304 0
24281.00ns INFO [00003035] C0: CP 0:0022BC 1:0022C0 00000000000022BC
24281.00ns INFO [00003035] CR Update: F0=4
24289.00ns INFO [00003036] C0: CP 0:0022C4 1:0022C8 00000000000022C4
24289.00ns INFO [00003036] GPR Update: R09=0000000000000000
24297.00ns INFO [00003037] CR Update: F0=2
24441.00ns INFO [00003055] C0: CP 0:0022F0 1:0022F4 00000000000022F0
24449.00ns INFO [00003056] GPR Update: R09=0000000000000000
24449.00ns INFO [00003056] T0 IFETCH 00002380 tag=08 len=16 WIMG:0 reld data:3062
24465.00ns INFO [00003058] C0: CP 0:0022F8 00000000000022F8
24473.00ns INFO [00003059] C0: CP 0:0022FC 00000000000022FC
24473.00ns INFO [00003059] CR Update: F0=2
24473.00ns INFO [00003059] T0 IFETCH 000023C0 tag=09 len=16 WIMG:0 reld data:3066
24497.00ns INFO [00003062] RELD tag=08 392000009121000C812100702C090000 1of4 crit
24505.00ns INFO [00003063] RELD tag=08 4082006C8121000C394900019141000C 2of4
24513.00ns INFO [00003064] RELD tag=08 394100087D2A4A143940003099490010 3of4
24521.00ns INFO [00003065] RELD tag=08 4800005881410074812100707D095396 4of4
24529.00ns INFO [00003066] RELD tag=09 7D4851D67D2A4850814100107D4A4A14 1of4 crit
24537.00ns INFO [00003067] RELD tag=09 8121000C390900019101000C894A0000 2of4
24545.00ns INFO [00003068] RELD tag=09 390100087D284A149949001081210074 3of4
24553.00ns INFO [00003069] RELD tag=09 814100707D2A4B969121007081210070 4of4
24625.00ns INFO [00003078] C0: CP 0:002318 1:00231C 0000000000002318
24633.00ns INFO [00003079] GPR Update: R09=0000000000000000
24649.00ns INFO [00003081] C0: CP 0:002320 0000000000002320
24657.00ns INFO [00003082] C0: CP 0:002324 0000000000002324
24657.00ns INFO [00003082] CR Update: F0=2
24801.00ns INFO [00003100] ...tick...
24809.00ns INFO [00003101] C0: CP 0:00233C 000000000000233C
24817.00ns INFO [00003102] C0: CP 0:002340 0000000000002340
24817.00ns INFO [00003102] GPR Update: R09=0000000000000002
24825.00ns INFO [00003103] C0: CP 0:002344 0000000000002344
24825.00ns INFO [00003103] GPR Update: R09=0000000000000000
24825.00ns INFO [00003103] T0 IFETCH 00002400 tag=08 len=16 WIMG:0 reld data:3109
24833.00ns INFO [00003104] CR Update: F0=2
24841.00ns INFO [00003105] C0: CP 0:002348 0000000000002348
24849.00ns INFO [00003106] T0 IFETCH 00002440 tag=09 len=16 WIMG:0 reld data:3113
24873.00ns INFO [00003109] RELD tag=08 2C0900004082FFB08141000C8121007C 1of4 crit
24881.00ns INFO [00003110] RELD tag=08 7C0A48004081000C8121000C9121007C 2of4
24889.00ns INFO [00003111] RELD tag=08 814100788121007C7D29505091210078 3of4
24897.00ns INFO [00003112] RELD tag=08 81210080712900112C09000040820044 4of4
24905.00ns INFO [00003113] RELD tag=09 4800002C814100688121006C7C0A4840 1of4 crit
24913.00ns INFO [00003114] RELD tag=09 40800010812100683940002099490000 2of4
24921.00ns INFO [00003115] RELD tag=09 81210068392900019121006881210078 3of4
24929.00ns INFO [00003116] RELD tag=09 3949FFFF914100782C0900004181FFC8 4of4
24961.00ns INFO [00003120] C0: CP 0:002380 0000000000002380
24969.00ns INFO [00003121] GPR Update: R09=0000000000000000
24985.00ns INFO [00003123] C0: CP 0:002384 0000000000002384
25001.00ns INFO [00003125] C0: CP 0:002388 1:00238C 0000000000002388
25009.00ns INFO [00003126] GPR Update: R09=0000000000000000
25009.00ns INFO [00003126] CR Update: F0=2
25017.00ns INFO [00003127] C0: CP 0:002390 0000000000002390
25025.00ns INFO [00003128] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=00000000000000003949FFFF9141007800000000000000000000000000000000 WIMG:0
25025.00ns INFO [00003128] Mem Update: @0001FD04 XXXXXXXX->00000000
25081.00ns INFO [00003135] T0 LOAD 0001FD04 tag=00 len=4 WIMG:0 reld data:3141
25089.00ns INFO [00003136] T0 IFETCH 00002480 tag=08 len=16 WIMG:0 reld data:3145
25113.00ns INFO [00003139] T0 IFETCH 000024C0 tag=09 len=16 WIMG:0 reld data:3149
25129.00ns INFO [00003141] RELD tag=00 000000000000000000000A3820000000 1of4 crit
25137.00ns INFO [00003142] RELD tag=00 00000000000000000000000000000000 2of4
25145.00ns INFO [00003143] RELD tag=00 00000000000000000000000000000000 3of4
25153.00ns INFO [00003144] RELD tag=00 00000000000000000000000000000000 4of4
25161.00ns INFO [00003145] RELD tag=08 892100082C0900004182002C81410068 1of4 crit
25169.00ns INFO [00003146] RELD tag=08 8121006C7C0A48404080001081210068 2of4
25177.00ns INFO [00003147] RELD tag=08 89410008994900008121006839290001 3of4
25185.00ns INFO [00003148] RELD tag=08 9121006881210080552906B42C090000 4of4
25193.00ns INFO [00003149] C0: CP 0:002394 0000000000002394
25193.00ns INFO [00003149] RELD tag=09 4182009C812100742C09000840820030 1of4 crit
25201.00ns INFO [00003150] GPR Update: R09=0000000000000000
25201.00ns INFO [00003150] RELD tag=09 814100688121006C7C0A484040800010 2of4
25209.00ns INFO [00003151] RELD tag=09 81210068394000309949000081210068 3of4
25217.00ns INFO [00003152] C0: CP 0:002398 0000000000002398
25217.00ns INFO [00003152] RELD tag=09 39290001912100684800006481210074 4of4
25225.00ns INFO [00003153] GPR Update: R10=0000000000000001
25233.00ns INFO [00003154] C0: CP 0:00239C 1:0023A0 000000000000239C
25241.00ns INFO [00003155] C0: CP 0:0023A4 1:0023A8 00000000000023A4
25241.00ns INFO [00003155] GPR Update: R10=000000000001FD00
25249.00ns INFO [00003156] GPR Update: R09=000000000001FD00
25249.00ns INFO [00003156] GPR Update: R10=0000000000000030
25265.00ns INFO [00003158] C0: CP 0:0023AC 1:0023B0 00000000000023AC
25273.00ns INFO [00003159] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000001392900019121006800000000000000000000000000000000 WIMG:0
25273.00ns INFO [00003159] Mem Update: @0001FD04 00000000->00000001
25281.00ns INFO [00003160] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25321.00ns INFO [00003165] T0 STORE 0001FD10 tag=00 len=1 be=80000000 data=3039290001912100680000000000000000000000000000000000000000000000 WIMG:0
0 16777215 0 0
25321.00ns INFO [00003165] Mem Update: @0001FD10 XXXXXXXX->30000000
130320 805306368
25329.00ns INFO [00003166] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿ........
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25369.00ns INFO [00003171] C0: CP 0:002408 0000000000002408
25377.00ns INFO [00003172] C0: CP 0:00240C 1:002410 000000000000240C
25377.00ns INFO [00003172] GPR Update: R10=0000000000000001
25385.00ns INFO [00003173] C0: CP 0:002414 1:002418 0000000000002414
25385.00ns INFO [00003173] GPR Update: R09=00000000FFFFFFFF
25385.00ns INFO [00003173] CR Update: F0=4
25393.00ns INFO [00003174] C0: CP 0:00241C 000000000000241C
25393.00ns INFO [00003174] GPR Update: R09=0000000000000001
25401.00ns INFO [00003175] C0: CP 0:002420 0000000000002420
25409.00ns INFO [00003176] GPR Update: R10=00000000FFFFFFFF
25433.00ns INFO [00003179] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=0000000000000001392900019121006800000000000000000000000000000000 WIMG:0
25433.00ns INFO [00003179] Mem Update: @0001FD74 FFFFFFFF->00000001
25441.00ns INFO [00003180] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000001 00000002 00000000 ..þ)..ÿ$........ÿÿÿÿ............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25537.00ns INFO [00003192] C0: CP 0:002424 1:002428 0000000000002424
25545.00ns INFO [00003193] GPR Update: R09=00000000FFFFFFFE
25561.00ns INFO [00003195] C0: CP 0:00242C 1:002430 000000000000242C
25569.00ns INFO [00003196] C0: CP 0:002434 1:002438 0000000000002434
25569.00ns INFO [00003196] GPR Update: R09=0000000000000002
25577.00ns INFO [00003197] C0: CP 0:00243C 000000000000243C
25577.00ns INFO [00003197] GPR Update: R09=0000000000000000
25577.00ns INFO [00003197] CR Update: F0=2
25585.00ns INFO [00003198] C0: CP 0:002440 0000000000002440
25601.00ns INFO [00003200] ...tick...
25601.00ns INFO [00003200] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFE39290001912100680000000000000000000000000000000000000000 WIMG:0
25601.00ns INFO [00003200] Mem Update: @0001FD70 FFFFFFFF->FFFFFFFE
25609.00ns INFO [00003201] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFE 00000001 00000002 00000000 ..þ)..ÿ$........ÿÿÿþ............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25705.00ns INFO [00003213] C0: CP 0:00246C 000000000000246C
25713.00ns INFO [00003214] C0: CP 0:002470 0000000000002470
25713.00ns INFO [00003214] GPR Update: R09=00000000FFFFFFFE
25721.00ns INFO [00003215] GPR Update: R10=00000000FFFFFFFD
25729.00ns INFO [00003216] C0: CP 0:002474 1:002478 0000000000002474
25737.00ns INFO [00003217] C0: CP 0:00247C 1:002480 000000000000247C
25737.00ns INFO [00003217] CR Update: F0=8
25745.00ns INFO [00003218] C0: CP 0:002484 1:002488 0000000000002484
25745.00ns INFO [00003218] GPR Update: R09=0000000000000000
25745.00ns INFO [00003218] T0 IFETCH 00002550 tag=08 len=16 WIMG:0 reld data:3224
25753.00ns INFO [00003219] CR Update: F0=2
25769.00ns INFO [00003221] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFD39290001912100680000000000000000000000000000000000000000 WIMG:0
25769.00ns INFO [00003221] Mem Update: @0001FD70 FFFFFFFE->FFFFFFFD
25777.00ns INFO [00003222] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFD 00000001 00000002 00000000 ..þ)..ÿ$........ÿÿÿý............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25777.00ns INFO [00003222] T0 IFETCH 00002580 tag=09 len=16 WIMG:0 reld data:3228
25793.00ns INFO [00003224] RELD tag=08 81210010894900218121006899490000 1of4
25801.00ns INFO [00003225] RELD tag=08 81210068392900019121006881210080 2of4 crit
25809.00ns INFO [00003226] RELD tag=08 552906F62C090000408200704800002C 3of4
25817.00ns INFO [00003227] RELD tag=08 814100688121006C7C0A484040800010 4of4
25825.00ns INFO [00003228] RELD tag=09 81210068894100149949000081210068 1of4 crit
25833.00ns INFO [00003229] RELD tag=09 3929000191210068812100783949FFFF 2of4
25841.00ns INFO [00003230] RELD tag=09 914100782C0900004181FFC84800002C 3of4
25849.00ns INFO [00003231] RELD tag=09 814100688121006C7C0A484040800010 4of4
25889.00ns INFO [00003236] C0: CP 0:0024B4 1:0024B8 00000000000024B4
25897.00ns INFO [00003237] GPR Update: R09=0000000000000000
25913.00ns INFO [00003239] C0: CP 0:0024BC 00000000000024BC
25921.00ns INFO [00003240] C0: CP 0:0024C0 00000000000024C0
25921.00ns INFO [00003240] CR Update: F0=2
26057.00ns INFO [00003257] T0 IFETCH 000025D0 tag=08 len=16 WIMG:0 reld data:3263
26073.00ns INFO [00003259] C0: CP 0:00255C 000000000000255C
26081.00ns INFO [00003260] C0: CP 0:002560 0000000000002560
26081.00ns INFO [00003260] GPR Update: R09=0000000000000002
26081.00ns INFO [00003260] T0 IFETCH 00002600 tag=09 len=16 WIMG:0 reld data:3267
26089.00ns INFO [00003261] C0: CP 0:002564 0000000000002564
26089.00ns INFO [00003261] GPR Update: R09=0000000000000000
26097.00ns INFO [00003262] CR Update: F0=2
26105.00ns INFO [00003263] C0: CP 0:002568 0000000000002568
26105.00ns INFO [00003263] RELD tag=08 81210068394000309949000081210068 1of4
26113.00ns INFO [00003264] C0: CP 0:00256C 000000000000256C
26113.00ns INFO [00003264] RELD tag=08 39290001912100688121007C3949FFFF 2of4 crit
26121.00ns INFO [00003265] C0: CP 0:002598 1:00259C 0000000000002598
26121.00ns INFO [00003265] RELD tag=08 9141007C8141000C7C0A48004180FFC4 3of4
26129.00ns INFO [00003266] GPR Update: R09=00000000FFFFFFFD
26129.00ns INFO [00003266] GPR Update: R10=00000000FFFFFFFC
26129.00ns INFO [00003266] RELD tag=08 48000038814100688121006C7C0A4840 4of4
26137.00ns INFO [00003267] RELD tag=09 4080001C394100188121000C7D2A4A14 1of4 crit
26145.00ns INFO [00003268] C0: CP 0:0025A0 1:0025A4 00000000000025A0
26145.00ns INFO [00003268] RELD tag=09 89490000812100689949000081210068 2of4
26153.00ns INFO [00003269] C0: CP 0:0025A8 00000000000025A8
26153.00ns INFO [00003269] CR Update: F0=8
26153.00ns INFO [00003269] RELD tag=09 39290001912100688121000C3949FFFF 3of4
26161.00ns INFO [00003270] C0: CP 0:0025AC 00000000000025AC
26161.00ns INFO [00003270] RELD tag=09 9141000C2C0900004181FFBC4800002C 4of4
26185.00ns INFO [00003273] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFC89490000812100680000000000000000000000000000000000000000 WIMG:0
26185.00ns INFO [00003273] Mem Update: @0001FD70 FFFFFFFD->FFFFFFFC
26193.00ns INFO [00003274] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000001 00000002 00000000 ..þ)..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26273.00ns INFO [00003284] C0: CP 0:0025D8 1:0025DC 00000000000025D8
26281.00ns INFO [00003285] GPR Update: R09=0000000000000001
26281.00ns INFO [00003285] GPR Update: R10=0000000000000000
26329.00ns INFO [00003291] T0 IFETCH 00002660 tag=08 len=16 WIMG:0 reld data:3297
26337.00ns INFO [00003292] C0: CP 0:0025E0 00000000000025E0
26353.00ns INFO [00003294] C0: CP 0:0025E4 00000000000025E4
26361.00ns INFO [00003295] C0: CP 0:0025E8 00000000000025E8
26361.00ns INFO [00003295] GPR Update: R10=0000000000000001
26369.00ns INFO [00003296] C0: CP 0:0025EC 00000000000025EC
26369.00ns INFO [00003296] CR Update: F0=2
26377.00ns INFO [00003297] C0: CP 0:0025F0 00000000000025F0
26377.00ns INFO [00003297] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=00000000000000009141000C2C09000000000000000000000000000000000000 WIMG:0
26377.00ns INFO [00003297] Mem Update: @0001FD74 00000001->00000000
26377.00ns INFO [00003297] RELD tag=08 814100688121006C7C0A484040800010 1of4
26385.00ns INFO [00003298] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ..þ)..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26385.00ns INFO [00003298] RELD tag=08 81210068394000209949000081210068 2of4
26393.00ns INFO [00003299] C0: CP 0:002628 1:00262C 0000000000002628
26393.00ns INFO [00003299] RELD tag=08 3929000191210068812100783949FFFF 3of4 crit
26401.00ns INFO [00003300] ...tick...
26401.00ns INFO [00003300] GPR Update: R09=0000000000000001
26401.00ns INFO [00003300] GPR Update: R10=0000000000000000
26401.00ns INFO [00003300] RELD tag=08 914100782C0900004181FFC881210068 4of4
26417.00ns INFO [00003302] C0: CP 0:002630 1:002634 0000000000002630
26425.00ns INFO [00003303] C0: CP 0:002638 0000000000002638
26425.00ns INFO [00003303] CR Update: F0=4
26457.00ns INFO [00003307] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000000914100782C09000000000000000000000000000000000000 WIMG:0
26457.00ns INFO [00003307] Mem Update: @0001FD04 00000001->00000000
26465.00ns INFO [00003308] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ..þ)..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26585.00ns INFO [00003323] C0: CP 0:0025F4 00000000000025F4
26593.00ns INFO [00003324] C0: CP 0:0025F8 00000000000025F8
26593.00ns INFO [00003324] GPR Update: R10=000000000001FE29
26601.00ns INFO [00003325] C0: CP 0:0025FC 00000000000025FC
26601.00ns INFO [00003325] GPR Update: R09=000000000001FF24
26609.00ns INFO [00003326] C0: CP 0:002600 1:002604 0000000000002600
26609.00ns INFO [00003326] CR Update: F0=8
26617.00ns INFO [00003327] C0: CP 0:002608 1:00260C 0000000000002608
26617.00ns INFO [00003327] GPR Update: R10=000000000001FD10
26625.00ns INFO [00003328] GPR Update: R09=000000000001FD10
26657.00ns INFO [00003332] C0: CP 0:002610 0000000000002610
26665.00ns INFO [00003333] C0: CP 0:002614 0000000000002614
26665.00ns INFO [00003333] GPR Update: R10=0000000000000030
26673.00ns INFO [00003334] C0: CP 0:002618 0000000000002618
26673.00ns INFO [00003334] GPR Update: R09=000000000001FE29
26681.00ns INFO [00003335] C0: CP 0:00261C 1:002620 000000000000261C
26689.00ns INFO [00003336] C0: CP 0:002624 0000000000002624
26689.00ns INFO [00003336] GPR Update: R09=000000000001FE2A
26697.00ns INFO [00003337] C0: CP 0:002628 1:00262C 0000000000002628
26705.00ns INFO [00003338] C0: CP 0:002630 1:002634 0000000000002630
26705.00ns INFO [00003338] GPR Update: R09=0000000000000000
26705.00ns INFO [00003338] GPR Update: R10=FFFFFFFFFFFFFFFF
26713.00ns INFO [00003339] C0: CP 0:002638 0000000000002638
26713.00ns INFO [00003339] CR Update: F0=2
26713.00ns INFO [00003339] T0 STORE 0001FE29 tag=00 len=1 be=00400000 data=00000000000000000030914100782C0900000000000000000000000000000000 WIMG:0
671088640 4278255615 18 1
26713.00ns INFO [00003339] Mem Update: @0001FE28 28000000->28300000
130600 674234368
26721.00ns INFO [00003340] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ..þ)..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26721.00ns INFO [00003340] C0: CP 0:00263C 000000000000263C
26729.00ns INFO [00003341] T0 STORE 0001FD60 tag=01 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
26729.00ns INFO [00003341] Mem Update: @0001FD60 0001FE29->0001FE2A
26737.00ns INFO [00003342] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26737.00ns INFO [00003342] C0: CP 0:002668 1:00266C 0000000000002668
26745.00ns INFO [00003343] GPR Update: R09=00000000FFFFFFFC
26745.00ns INFO [00003343] GPR Update: R10=00000000FFFFFFFB
26745.00ns INFO [00003343] T0 STORE 0001FD04 tag=02 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF914100782C09000000000000000000000000000000000000 WIMG:0
26745.00ns INFO [00003343] Mem Update: @0001FD04 00000000->FFFFFFFF
26753.00ns INFO [00003344] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿü............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26761.00ns INFO [00003345] C0: CP 0:002670 1:002674 0000000000002670
26769.00ns INFO [00003346] C0: CP 0:002678 0000000000002678
26769.00ns INFO [00003346] CR Update: F0=8
26801.00ns INFO [00003350] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFB914100782C0900000000000000000000000000000000000000000000 WIMG:0
26801.00ns INFO [00003350] Mem Update: @0001FD70 FFFFFFFC->FFFFFFFB
26809.00ns INFO [00003351] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ)..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26857.00ns INFO [00003357] C0: CP 0:00267C 000000000000267C
26865.00ns INFO [00003358] C0: CP 0:002680 1:002684 0000000000002680
26865.00ns INFO [00003358] GPR Update: R09=000000000001FE2A
26873.00ns INFO [00003359] C0: CP 0:002688 0000000000002688
26873.00ns INFO [00003359] GPR Update: R01=000000000001FD88
26873.00ns INFO [00003359] GPR Update: R03=000000000001FE2A
26985.00ns INFO [00003373] C0: CP 0:003800 0000000000003800
26993.00ns INFO [00003374] GPR Update: R09=000000000001FE2A
27009.00ns INFO [00003376] C0: CP 0:003804 0000000000003804
27025.00ns INFO [00003378] C0: CP 0:003808 1:00380C 0000000000003808
27033.00ns INFO [00003379] GPR Update: R09=00000000000008F7
27049.00ns INFO [00003381] C0: CP 0:003810 0000000000003810
27049.00ns INFO [00003381] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
27049.00ns INFO [00003381] Mem Update: @0001FDA0 0001FE29->0001FE2A
27057.00ns INFO [00003382] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ*..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ..................þ$.......ö..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27105.00ns INFO [00003388] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F79141007800000000000000000000000000000000 WIMG:0
27105.00ns INFO [00003388] Mem Update: @0001FDD8 000008F6->000008F7
27113.00ns INFO [00003389] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ*..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ..................þ$.......÷..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27201.00ns INFO [00003400] ...tick...
27201.00ns INFO [00003400] C0: CP 0:003814 0000000000003814
27209.00ns INFO [00003401] GPR Update: R09=00000000000008F7
27233.00ns INFO [00003404] C0: CP 0:003818 0000000000003818
27241.00ns INFO [00003405] C0: CP 0:00381C 000000000000381C
27241.00ns INFO [00003405] GPR Update: R09=0000000000000029
27249.00ns INFO [00003406] C0: CP 0:003820 0000000000003820
27249.00ns INFO [00003406] CR Update: F0=4
27401.00ns INFO [00003425] C0: CP 0:002B78 0000000000002B78
27409.00ns INFO [00003426] GPR Update: R09=00000000000008F7
27433.00ns INFO [00003429] C0: CP 0:002B7C 0000000000002B7C
27441.00ns INFO [00003430] C0: CP 0:002B80 0000000000002B80
27441.00ns INFO [00003430] GPR Update: R09=0000000000000029
27449.00ns INFO [00003431] C0: CP 0:002B84 1:002B88 0000000000002B84
27449.00ns INFO [00003431] CR Update: F0=4
27457.00ns INFO [00003432] C0: CP 0:002B8C 1:002B90 0000000000002B8C
27457.00ns INFO [00003432] GPR Update: R10=000000000001FE2A
27465.00ns INFO [00003433] C0: CP 0:002B94 1:002B98 0000000000002B94
27465.00ns INFO [00003433] GPR Update: R09=000000000001FF24
27465.00ns INFO [00003433] CR Update: F0=8
27473.00ns INFO [00003434] C0: CP 0:002B9C 0000000000002B9C
27473.00ns INFO [00003434] GPR Update: R09=00000000000008F7
27481.00ns INFO [00003435] C0: CP 0:002BA0 0000000000002BA0
27481.00ns INFO [00003435] GPR Update: R10=0000000000000029
27489.00ns INFO [00003436] C0: CP 0:002BA4 0000000000002BA4
27489.00ns INFO [00003436] GPR Update: R09=000000000001FE2A
27497.00ns INFO [00003437] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
27505.00ns INFO [00003438] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
27505.00ns INFO [00003438] GPR Update: R09=000000000001FE2B
27529.00ns INFO [00003441] T0 STORE 0001FE2A tag=00 len=1 be=00200000 data=0900000000000000000029914100782C00000000000000000000000000000000 WIMG:0
674234368 4294902015 20 2
27529.00ns INFO [00003441] Mem Update: @0001FE28 28300000->28302900
130600 674244864
27537.00ns INFO [00003442] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ*..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ..................þ$.......÷..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27561.00ns INFO [00003445] C0: CP 0:003808 0000000000003808
27561.00ns INFO [00003445] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE2B914100782C0900000000000000000000000000000000000000000000 WIMG:0
27561.00ns INFO [00003445] Mem Update: @0001FDA0 0001FE2A->0001FE2B
27569.00ns INFO [00003446] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ+..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ..................þ$.......÷..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27569.00ns INFO [00003446] C0: CP 0:00380C 000000000000380C
27569.00ns INFO [00003446] GPR Update: R09=00000000000008F7
27577.00ns INFO [00003447] GPR Update: R09=00000000000008F8
27585.00ns INFO [00003448] C0: CP 0:003810 0000000000003810
27641.00ns INFO [00003455] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F89141007800000000000000000000000000000000 WIMG:0
27641.00ns INFO [00003455] Mem Update: @0001FDD8 000008F7->000008F8
27649.00ns INFO [00003456] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ+..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ..................þ$.......ø..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
27737.00ns INFO [00003467] C0: CP 0:003814 0000000000003814
27745.00ns INFO [00003468] GPR Update: R09=00000000000008F8
27769.00ns INFO [00003471] C0: CP 0:003818 1:00381C 0000000000003818
27777.00ns INFO [00003472] GPR Update: R09=000000000000000A
27777.00ns INFO [00003472] CR Update: F0=4
27785.00ns INFO [00003473] C0: CP 0:003820 0000000000003820
27937.00ns INFO [00003492] C0: CP 0:002B78 0000000000002B78
27945.00ns INFO [00003493] GPR Update: R09=00000000000008F8
27969.00ns INFO [00003496] C0: CP 0:002B7C 0000000000002B7C
27977.00ns INFO [00003497] C0: CP 0:002B80 0000000000002B80
27977.00ns INFO [00003497] GPR Update: R09=000000000000000A
27985.00ns INFO [00003498] C0: CP 0:002B84 1:002B88 0000000000002B84
27985.00ns INFO [00003498] CR Update: F0=8
27993.00ns INFO [00003499] C0: CP 0:002B8C 1:002B90 0000000000002B8C
27993.00ns INFO [00003499] GPR Update: R10=000000000001FE2B
28001.00ns INFO [00003500] ...tick...
28001.00ns INFO [00003500] C0: CP 0:002B94 1:002B98 0000000000002B94
28001.00ns INFO [00003500] GPR Update: R09=000000000001FF24
28001.00ns INFO [00003500] CR Update: F0=8
28009.00ns INFO [00003501] C0: CP 0:002B9C 0000000000002B9C
28009.00ns INFO [00003501] GPR Update: R09=00000000000008F8
28017.00ns INFO [00003502] C0: CP 0:002BA0 0000000000002BA0
28017.00ns INFO [00003502] GPR Update: R10=000000000000000A
28025.00ns INFO [00003503] C0: CP 0:002BA4 0000000000002BA4
28025.00ns INFO [00003503] GPR Update: R09=000000000001FE2B
28033.00ns INFO [00003504] C0: CP 0:002BA8 1:002BAC 0000000000002BA8
28041.00ns INFO [00003505] C0: CP 0:002BB0 1:002BB4 0000000000002BB0
28041.00ns INFO [00003505] GPR Update: R09=000000000001FE2C
28065.00ns INFO [00003508] T0 STORE 0001FE2B tag=00 len=1 be=00100000 data=2C090000000000000000000A9141007800000000000000000000000000000000 WIMG:0
674244864 4294967040 22 3
28065.00ns INFO [00003508] Mem Update: @0001FE28 28302900->2830290A
130600 674244874
28073.00ns INFO [00003509] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ+..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ..................þ$.......ø..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28097.00ns INFO [00003512] C0: CP 0:003808 0000000000003808
28097.00ns INFO [00003512] T0 STORE 0001FDA0 tag=01 len=4 be=F0000000 data=0001FE2C914100782C0900000000000000000000000000000000000000000000 WIMG:0
28097.00ns INFO [00003512] Mem Update: @0001FDA0 0001FE2B->0001FE2C
28105.00ns INFO [00003513] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ..................þ$.......ø..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28105.00ns INFO [00003513] C0: CP 0:00380C 000000000000380C
28105.00ns INFO [00003513] GPR Update: R09=00000000000008F8
28113.00ns INFO [00003514] GPR Update: R09=00000000000008F9
28121.00ns INFO [00003515] C0: CP 0:003810 0000000000003810
28177.00ns INFO [00003522] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F99141007800000000000000000000000000000000 WIMG:0
28177.00ns INFO [00003522] Mem Update: @0001FDD8 000008F8->000008F9
28185.00ns INFO [00003523] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000000 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28273.00ns INFO [00003534] C0: CP 0:003814 0000000000003814
28281.00ns INFO [00003535] GPR Update: R09=00000000000008F9
28305.00ns INFO [00003538] C0: CP 0:003818 1:00381C 0000000000003818
28313.00ns INFO [00003539] GPR Update: R09=0000000000000000
28313.00ns INFO [00003539] CR Update: F0=2
28321.00ns INFO [00003540] C0: CP 0:003820 1:003824 0000000000003820
28329.00ns INFO [00003541] C0: CP 0:003828 1:00382C 0000000000003828
28329.00ns INFO [00003541] GPR Update: R09=0000000000000100
28337.00ns INFO [00003542] C0: CP 0:003830 1:003834 0000000000003830
28337.00ns INFO [00003542] CR Update: F0=4
28345.00ns INFO [00003543] C0: CP 0:003838 1:00383C 0000000000003838
28345.00ns INFO [00003543] GPR Update: R09=000000000001FF24
28345.00ns INFO [00003543] GPR Update: R10=000000000001FE2C
28353.00ns INFO [00003544] C0: CP 0:003840 1:003844 0000000000003840
28353.00ns INFO [00003544] CR Update: F0=8
28361.00ns INFO [00003545] C0: CP 0:003848 1:00384C 0000000000003848
28361.00ns INFO [00003545] GPR Update: R09=000000000001FE2C
28361.00ns INFO [00003545] GPR Update: R10=0000000000000000
28401.00ns INFO [00003550] C0: CP 0:003860 0000000000003860
28401.00ns INFO [00003550] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=782C090000000000000000000091410000000000000000000000000000000000 WIMG:0
0 16777215 24 0
28401.00ns INFO [00003550] Mem Update: @0001FE2C XXXXXXXX->00000000
130604 0
28409.00ns INFO [00003551] C0: CP 0:003864 0000000000003864
28409.00ns INFO [00003551] GPR Update: R10=000000000001FE2C
28417.00ns INFO [00003552] C0: CP 0:003868 1:00386C 0000000000003868
28417.00ns INFO [00003552] GPR Update: R09=000000000001FE24
28425.00ns INFO [00003553] GPR Update: R03=0000000000000008
28425.00ns INFO [00003553] GPR Update: R09=0000000000000008
28449.00ns INFO [00003556] C0: CP 0:003870 0000000000003870
28457.00ns INFO [00003557] C0: CP 0:003874 1:003878 0000000000003874
28457.00ns INFO [00003557] GPR Update: R00=00000000000026BC
28465.00ns INFO [00003558] C0: CP 0:00387C 000000000000387C
28465.00ns INFO [00003558] GPR Update: R01=000000000001FDE8
28465.00ns INFO [00003558] LR Update:00000000000026BC
28577.00ns INFO [00003572] T0 IFETCH 00002700 tag=08 len=16 WIMG:0 reld data:3578
28585.00ns INFO [00003573] C0: CP 0:0026BC 00000000000026BC
28593.00ns INFO [00003574] GPR Update: R09=0000000000000008
28601.00ns INFO [00003575] T0 IFETCH 00002740 tag=09 len=16 WIMG:0 reld data:3582
28617.00ns INFO [00003577] C0: CP 0:0026C0 00000000000026C0
28625.00ns INFO [00003578] RELD tag=08 90010084906100189081001C90A10020 1of4 crit
28633.00ns INFO [00003579] RELD tag=08 90C1002C90E100309101003491210038 2of4
28641.00ns INFO [00003580] RELD tag=08 9141003C40860024D8210040D8410048 3of4
28649.00ns INFO [00003581] RELD tag=08 D8610050D8810058D8A10060D8C10068 4of4
28657.00ns INFO [00003582] T0 STORE 0001FDF0 tag=00 len=4 be=F0000000 data=00000008914100782C0900000000000000000000000000000000000000000000 WIMG:0
28657.00ns INFO [00003582] Mem Update: @0001FDF0 XXXXXXXX->00000008
28657.00ns INFO [00003582] RELD tag=09 D8E10070D9010078392000039921000C 1of4 crit
28665.00ns INFO [00003583] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28665.00ns INFO [00003583] RELD tag=09 392000009921000D3921008891210010 2of4
28673.00ns INFO [00003584] RELD tag=09 39210020912100143921000C7D264B78 3of4
28681.00ns INFO [00003585] RELD tag=09 80A100208081001C8061001848000389 4of4
28761.00ns INFO [00003595] C0: CP 0:0026C4 00000000000026C4
28769.00ns INFO [00003596] C0: CP 0:0026C8 1:0026CC 00000000000026C8
28769.00ns INFO [00003596] GPR Update: R10=0000000000000008
28777.00ns INFO [00003597] C0: CP 0:0026D0 00000000000026D0
28777.00ns INFO [00003597] GPR Update: R09=0000000000000100
28777.00ns INFO [00003597] CR Update: F0=8
28801.00ns INFO [00003600] ...tick...
28929.00ns INFO [00003616] C0: CP 0:0026E0 1:0026E4 00000000000026E0
28937.00ns INFO [00003617] C0: CP 0:0026E8 00000000000026E8
28937.00ns INFO [00003617] GPR Update: R03=0000000000000008
28937.00ns INFO [00003617] GPR Update: R09=0000000000000008
28945.00ns INFO [00003618] C0: CP 0:0026EC 1:0026F0 00000000000026EC
28945.00ns INFO [00003618] GPR Update: R00=0000000000003A98
28953.00ns INFO [00003619] C0: CP 0:0026F4 00000000000026F4
28953.00ns INFO [00003619] GPR Update: R01=000000000001FE18
28953.00ns INFO [00003619] LR Update:0000000000003A98
29049.00ns INFO [00003631] T0 IFETCH 00003A10 tag=08 len=16 WIMG:0 reld data:3637
29073.00ns INFO [00003634] C0: CP 0:003A98 0000000000003A98
29081.00ns INFO [00003635] GPR Update: R09=0000000000000008
29097.00ns INFO [00003637] C0: CP 0:003A9C 1:003AA0 0000000000003A9C
29097.00ns INFO [00003637] RELD tag=08 7D234B78800100147C0803A638210010 1of4
29105.00ns INFO [00003638] GPR Update: R10=000000000001FE24
29105.00ns INFO [00003638] RELD tag=08 4E8000209421FFF07C0802A690010014 2of4 crit
29113.00ns INFO [00003639] RELD tag=08 90610008480000208121000889290000 3of4
29121.00ns INFO [00003640] RELD tag=08 7D234B784BFFFE9D8121000839290001 4of4
29137.00ns INFO [00003642] T0 STORE 0001FE20 tag=00 len=4 be=F0000000 data=000000087D234B78800100140000000000000000000000000000000000000000 WIMG:0
29137.00ns INFO [00003642] Mem Update: @0001FE20 XXXXXXXX->00000008
29145.00ns INFO [00003643] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$.......ð..ÿD..........ÿ8..:˜
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29249.00ns INFO [00003656] C0: CP 0:003AA4 0000000000003AA4
29257.00ns INFO [00003657] GPR Update: R09=0000000000000008
29265.00ns INFO [00003658] C0: CP 0:003AA8 1:003AAC 0000000000003AA8
29273.00ns INFO [00003659] GPR Update: R09=000000000001FE2C
29273.00ns INFO [00003659] GPR Update: R10=0000000000000000
29305.00ns INFO [00003663] C0: CP 0:003AB0 1:003AB4 0000000000003AB0
29313.00ns INFO [00003664] C0: CP 0:003AB8 1:003ABC 0000000000003AB8
29313.00ns INFO [00003664] GPR Update: R09=000000000001FE24
29321.00ns INFO [00003665] C0: CP 0:003A14 1:003A18 0000000000003A14
29321.00ns INFO [00003665] GPR Update: R03=000000000001FE24
29321.00ns INFO [00003665] LR Update:0000000000003AC0
29329.00ns INFO [00003666] C0: CP 0:003A1C 1:003A20 0000000000003A1C
29329.00ns INFO [00003666] GPR Update: R00=0000000000003AC0
29329.00ns INFO [00003666] GPR Update: R01=000000000001FE08
29337.00ns INFO [00003667] C0: CP 0:003A24 0000000000003A24
29345.00ns INFO [00003668] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=784BFFFE9D00000000000000007D234B00000000000000000000000000000000 WIMG:0
0 16777215 24 0
29345.00ns INFO [00003668] Mem Update: @0001FE2C 00000000->00000000
130604 0
29377.00ns INFO [00003672] T0 STORE 0001FE08 tag=01 len=4 be=00F00000 data=4BFFFE9D000000000001FE187D234B7800000000000000000000000000000000 WIMG:0
29377.00ns INFO [00003672] Mem Update: @0001FE08 000008F0->0001FE18
29385.00ns INFO [00003673] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003A98 ..þ$......þ...ÿD..........ÿ8..:˜
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29385.00ns INFO [00003673] T0 STORE 0001FE1C tag=01 len=4 be=000F0000 data=7D234B784BFFFE9D0000000000003AC000000000000000000000000000000000 WIMG:0
29385.00ns INFO [00003673] Mem Update: @0001FE1C 00003A98->00003AC0
29393.00ns INFO [00003674] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003AC0 ..þ$......þ...ÿD..........ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29393.00ns INFO [00003674] T0 STORE 0001FE10 tag=02 len=4 be=F0000000 data=0001FE247D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
29393.00ns INFO [00003674] Mem Update: @0001FE10 XXXXXXXX->0001FE24
29401.00ns INFO [00003675] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 00000000 00000000 ..........þ...&¼................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003AC0 ..þ$......þ...ÿD..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29489.00ns INFO [00003686] C0: CP 0:003A44 0000000000003A44
29497.00ns INFO [00003687] GPR Update: R09=000000000001FE24
29521.00ns INFO [00003690] C0: CP 0:003A48 0000000000003A48
29529.00ns INFO [00003691] C0: CP 0:003A4C 0000000000003A4C
29529.00ns INFO [00003691] GPR Update: R09=000000000000006D
29537.00ns INFO [00003692] C0: CP 0:003A50 0000000000003A50
29537.00ns INFO [00003692] CR Update: F0=4
29601.00ns INFO [00003700] ...tick...
29689.00ns INFO [00003711] C0: CP 0:003A28 0000000000003A28
29697.00ns INFO [00003712] GPR Update: R09=000000000001FE24
29721.00ns INFO [00003715] C0: CP 0:003A2C 0000000000003A2C
29729.00ns INFO [00003716] C0: CP 0:003A30 1:003A34 0000000000003A30
29729.00ns INFO [00003716] GPR Update: R09=000000000000006D
29737.00ns INFO [00003717] C0: CP 0:0038D0 1:0038D4 00000000000038D0
29737.00ns INFO [00003717] GPR Update: R03=000000000000006D
29737.00ns INFO [00003717] LR Update:0000000000003A38
29745.00ns INFO [00003718] GPR Update: R00=0000000000003A38
29745.00ns INFO [00003718] GPR Update: R01=000000000001FDF8
29761.00ns INFO [00003720] C0: CP 0:0038D8 00000000000038D8
29769.00ns INFO [00003721] C0: CP 0:0038DC 1:0038E0 00000000000038DC
29777.00ns INFO [00003722] GPR Update: R09=0000000000020000
29777.00ns INFO [00003722] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
29777.00ns INFO [00003722] Mem Update: @0001FDF8 XXXXXXXX->0001FE08
29785.00ns INFO [00003723] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 0001FE08 00000000 ..........þ...&¼..........þ.....
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003AC0 ..þ$......þ...ÿD..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29785.00ns INFO [00003723] C0: CP 0:0038E4 00000000000038E4
29793.00ns INFO [00003724] C0: CP 0:0038E8 00000000000038E8
29793.00ns INFO [00003724] GPR Update: R09=0000000000000C00
29801.00ns INFO [00003725] C0: CP 0:0038EC 1:0038F0 00000000000038EC
29801.00ns INFO [00003725] CR Update: F0=4
29801.00ns INFO [00003725] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7D234B784BFFFE9D0000000000003A3800000000000000000000000000000000 WIMG:0
29801.00ns INFO [00003725] Mem Update: @0001FE0C 0001FF44->00003A38
29809.00ns INFO [00003726] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 0001FE08 00000000 ..........þ...&¼..........þ.....
0001FE00: 0001FE24 00000100 0001FE18 00003A38 0001FE24 00000000 0001FF38 00003AC0 ..þ$......þ...:8..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29809.00ns INFO [00003726] C0: CP 0:0038F4 00000000000038F4
29809.00ns INFO [00003726] GPR Update: R09=0000000000020000
29809.00ns INFO [00003726] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=0000006D7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
29809.00ns INFO [00003726] Mem Update: @0001FE00 0001FE24->0000006D
29817.00ns INFO [00003727] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FE18 000026BC 00000008 00000000 0001FE08 00000000 ..........þ...&¼..........þ.....
0001FE00: 0000006D 00000100 0001FE18 00003A38 0001FE24 00000000 0001FF38 00003AC0 ...m......þ...:8..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29817.00ns INFO [00003727] GPR Update: R09=0000000000000C00
29905.00ns INFO [00003738] C0: CP 0:0038F8 1:0038FC 00000000000038F8
29913.00ns INFO [00003739] GPR Update: R10=000000000000006D
29921.00ns INFO [00003740] C0: CP 0:003900 1:003904 0000000000003900
29929.00ns INFO [00003741] C0: CP 0:003908 0000000000003908
29929.00ns INFO [00003741] GPR Update: R03=000000000000006D
29929.00ns INFO [00003741] CTR Update:0000000000000C00
29937.00ns INFO [00003742] LR Update:000000000000390C
30065.00ns INFO [00003758] C0: CP 0:000C00 1:000C04 0000000000000C00
30073.00ns INFO [00003759] GPR Update: R01=000000000001FDE8
30073.00ns INFO [00003759] GPR Update: R09=000000000000006D
30097.00ns INFO [00003762] C0: CP 0:000C08 1:000C0C 0000000000000C08
30105.00ns INFO [00003763] GPR Update: R09=0000000000010000
30105.00ns INFO [00003763] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
30105.00ns INFO [00003763] Mem Update: @0001FDE8 0001FE18->0001FDF8
30113.00ns INFO [00003764] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 00000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000006D 00000100 0001FE18 00003A38 0001FE24 00000000 0001FF38 00003AC0 ...m......þ...:8..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30113.00ns INFO [00003764] C0: CP 0:000C10 0000000000000C10
30121.00ns INFO [00003765] C0: CP 0:000C14 1:000C18 0000000000000C14
30121.00ns INFO [00003765] GPR Update: R09=000000000001C004
30129.00ns INFO [00003766] GPR Update: R08=000000000001C005
30129.00ns INFO [00003766] GPR Update: R10=0000000000010000
30137.00ns INFO [00003767] C0: CP 0:000C1C 0000000000000C1C
30137.00ns INFO [00003767] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=6D7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
8 16777215 0 0
30137.00ns INFO [00003767] Mem Update: @0001FDF0 00000008->6D000008
130544 1828716552
30145.00ns INFO [00003768] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6D000008 00000000 0001FE08 00000000 ..........ýø..&¼m.........þ.....
0001FE00: 0000006D 00000100 0001FE18 00003A38 0001FE24 00000000 0001FF38 00003AC0 ...m......þ...:8..þ$......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30177.00ns INFO [00003772] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0057D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
30177.00ns INFO [00003772] Mem Update: @00012000 0001C004->0001C005
30233.00ns INFO [00003779] C0: CP 0:000C20 0000000000000C20
30241.00ns INFO [00003780] GPR Update: R10=000000000000006D
30249.00ns INFO [00003781] C0: CP 0:000C24 1:000C28 0000000000000C24
30257.00ns INFO [00003782] C0: CP 0:000C2C 1:000C30 0000000000000C2C
30265.00ns INFO [00003783] GPR Update: R01=000000000001FDF8
30289.00ns INFO [00003786] T0 STORE 0001C004 tag=00 len=1 be=08000000 data=000000006D7D234B784BFFFE9D00000000000000000000000000000000000000 WIMG:0
0 16777215 8 0
30289.00ns INFO [00003786] Mem Update: @0001C004 XXXXXXXX->6D000000
114692 1828716544
30297.00ns INFO [00003787] Print buffer:
0001C000: 7774660A 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.m...........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
30385.00ns INFO [00003798] T0 IFETCH 00003980 tag=08 len=16 WIMG:0 reld data:3804
30401.00ns INFO [00003800] ...tick...
30401.00ns INFO [00003800] C0: CP 0:00390C 000000000000390C
30409.00ns INFO [00003801] C0: CP 0:003910 1:003914 0000000000003910
30409.00ns INFO [00003801] GPR Update: R09=000000000000006D
30417.00ns INFO [00003802] C0: CP 0:003918 1:00391C 0000000000003918
30417.00ns INFO [00003802] GPR Update: R00=0000000000003A38
30417.00ns INFO [00003802] GPR Update: R03=000000000000006D
30425.00ns INFO [00003803] C0: CP 0:003920 0000000000003920
30425.00ns INFO [00003803] GPR Update: R01=000000000001FE08
30425.00ns INFO [00003803] LR Update:0000000000003A38
30433.00ns INFO [00003804] RELD tag=08 4E8000209421FFF07C0802A690010014 1of4 crit
30441.00ns INFO [00003805] RELD tag=08 3D2000028129E0202C09000041820028 2of4
30449.00ns INFO [00003806] RELD tag=08 3D2000028129E0207D2903A64E800421 3of4
30457.00ns INFO [00003807] RELD tag=08 7C691B782C0900004182000C39200001 4of4
30577.00ns INFO [00003822] C0: CP 0:003A38 1:003A3C 0000000000003A38
30585.00ns INFO [00003823] GPR Update: R09=000000000001FE25
30601.00ns INFO [00003825] C0: CP 0:003A40 0000000000003A40
30657.00ns INFO [00003832] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE257C691B782C0900000000000000000000000000000000000000000000 WIMG:0
30657.00ns INFO [00003832] Mem Update: @0001FE10 0001FE24->0001FE25
30665.00ns INFO [00003833] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6D000008 00000000 0001FE08 00000000 ..........ýø..&¼m.........þ.....
0001FE00: 0000006D 00000100 0001FE18 00003A38 0001FE25 00000000 0001FF38 00003AC0 ...m......þ...:8..þ%......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30753.00ns INFO [00003844] C0: CP 0:003A44 0000000000003A44
30761.00ns INFO [00003845] GPR Update: R09=000000000001FE25
30785.00ns INFO [00003848] C0: CP 0:003A48 0000000000003A48
30793.00ns INFO [00003849] C0: CP 0:003A4C 0000000000003A4C
30793.00ns INFO [00003849] GPR Update: R09=0000000000000061
30801.00ns INFO [00003850] C0: CP 0:003A50 0000000000003A50
30801.00ns INFO [00003850] CR Update: F0=4
30953.00ns INFO [00003869] C0: CP 0:003A28 0000000000003A28
30961.00ns INFO [00003870] GPR Update: R09=000000000001FE25
30985.00ns INFO [00003873] C0: CP 0:003A2C 0000000000003A2C
30993.00ns INFO [00003874] C0: CP 0:003A30 1:003A34 0000000000003A30
30993.00ns INFO [00003874] GPR Update: R09=0000000000000061
31001.00ns INFO [00003875] C0: CP 0:0038D0 1:0038D4 00000000000038D0
31001.00ns INFO [00003875] GPR Update: R03=0000000000000061
31001.00ns INFO [00003875] LR Update:0000000000003A38
31009.00ns INFO [00003876] GPR Update: R00=0000000000003A38
31009.00ns INFO [00003876] GPR Update: R01=000000000001FDF8
31025.00ns INFO [00003878] C0: CP 0:0038D8 00000000000038D8
31033.00ns INFO [00003879] C0: CP 0:0038DC 1:0038E0 00000000000038DC
31041.00ns INFO [00003880] GPR Update: R09=0000000000020000
31041.00ns INFO [00003880] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
31041.00ns INFO [00003880] Mem Update: @0001FDF8 0001FE08->0001FE08
31049.00ns INFO [00003881] C0: CP 0:0038E4 00000000000038E4
31057.00ns INFO [00003882] C0: CP 0:0038E8 00000000000038E8
31057.00ns INFO [00003882] GPR Update: R09=0000000000000C00
31065.00ns INFO [00003883] C0: CP 0:0038EC 1:0038F0 00000000000038EC
31065.00ns INFO [00003883] CR Update: F0=4
31065.00ns INFO [00003883] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
31065.00ns INFO [00003883] Mem Update: @0001FE0C 00003A38->00003A38
31073.00ns INFO [00003884] C0: CP 0:0038F4 00000000000038F4
31073.00ns INFO [00003884] GPR Update: R09=0000000000020000
31073.00ns INFO [00003884] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=000000617C691B782C0900000000000000000000000000000000000000000000 WIMG:0
31073.00ns INFO [00003884] Mem Update: @0001FE00 0000006D->00000061
31081.00ns INFO [00003885] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6D000008 00000000 0001FE08 00000000 ..........ýø..&¼m.........þ.....
0001FE00: 00000061 00000100 0001FE18 00003A38 0001FE25 00000000 0001FF38 00003AC0 ...a......þ...:8..þ%......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31081.00ns INFO [00003885] GPR Update: R09=0000000000000C00
31169.00ns INFO [00003896] C0: CP 0:0038F8 1:0038FC 00000000000038F8
31177.00ns INFO [00003897] GPR Update: R10=0000000000000061
31185.00ns INFO [00003898] C0: CP 0:003900 1:003904 0000000000003900
31193.00ns INFO [00003899] C0: CP 0:003908 0000000000003908
31193.00ns INFO [00003899] GPR Update: R03=0000000000000061
31193.00ns INFO [00003899] CTR Update:0000000000000C00
31201.00ns INFO [00003900] ...tick...
31201.00ns INFO [00003900] LR Update:000000000000390C
31329.00ns INFO [00003916] C0: CP 0:000C00 1:000C04 0000000000000C00
31337.00ns INFO [00003917] GPR Update: R01=000000000001FDE8
31337.00ns INFO [00003917] GPR Update: R09=0000000000000061
31361.00ns INFO [00003920] C0: CP 0:000C08 1:000C0C 0000000000000C08
31369.00ns INFO [00003921] GPR Update: R09=0000000000010000
31369.00ns INFO [00003921] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
31369.00ns INFO [00003921] Mem Update: @0001FDE8 0001FDF8->0001FDF8
31377.00ns INFO [00003922] C0: CP 0:000C10 0000000000000C10
31385.00ns INFO [00003923] C0: CP 0:000C14 1:000C18 0000000000000C14
31385.00ns INFO [00003923] GPR Update: R09=000000000001C005
31393.00ns INFO [00003924] GPR Update: R08=000000000001C006
31393.00ns INFO [00003924] GPR Update: R10=0000000000010000
31401.00ns INFO [00003925] C0: CP 0:000C1C 0000000000000C1C
31401.00ns INFO [00003925] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=617C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1828716552 16777215 0 0
31401.00ns INFO [00003925] Mem Update: @0001FDF0 6D000008->61000008
130544 1627389960
31409.00ns INFO [00003926] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 61000008 00000000 0001FE08 00000000 ..........ýø..&¼a.........þ.....
0001FE00: 00000061 00000100 0001FE18 00003A38 0001FE25 00000000 0001FF38 00003AC0 ...a......þ...:8..þ%......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31441.00ns INFO [00003930] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0067C691B782C0900000000000000000000000000000000000000000000 WIMG:0
31441.00ns INFO [00003930] Mem Update: @00012000 0001C005->0001C006
31497.00ns INFO [00003937] C0: CP 0:000C20 0000000000000C20
31505.00ns INFO [00003938] GPR Update: R10=0000000000000061
31513.00ns INFO [00003939] C0: CP 0:000C24 1:000C28 0000000000000C24
31521.00ns INFO [00003940] C0: CP 0:000C2C 1:000C30 0000000000000C2C
31529.00ns INFO [00003941] GPR Update: R01=000000000001FDF8
31553.00ns INFO [00003944] T0 STORE 0001C005 tag=00 len=1 be=04000000 data=0000000000617C691B782C090000000000000000000000000000000000000000 WIMG:0
1828716544 4278255615 10 1
31553.00ns INFO [00003944] Mem Update: @0001C004 6D000000->6D610000
114692 1835073536
31561.00ns INFO [00003945] Print buffer:
0001C000: 7774660A 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.ma..........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
31665.00ns INFO [00003958] C0: CP 0:00390C 000000000000390C
31673.00ns INFO [00003959] C0: CP 0:003910 1:003914 0000000000003910
31673.00ns INFO [00003959] GPR Update: R09=0000000000000061
31681.00ns INFO [00003960] C0: CP 0:003918 1:00391C 0000000000003918
31681.00ns INFO [00003960] GPR Update: R00=0000000000003A38
31681.00ns INFO [00003960] GPR Update: R03=0000000000000061
31689.00ns INFO [00003961] C0: CP 0:003920 0000000000003920
31689.00ns INFO [00003961] GPR Update: R01=000000000001FE08
31689.00ns INFO [00003961] LR Update:0000000000003A38
31841.00ns INFO [00003980] C0: CP 0:003A38 1:003A3C 0000000000003A38
31849.00ns INFO [00003981] GPR Update: R09=000000000001FE26
31865.00ns INFO [00003983] C0: CP 0:003A40 0000000000003A40
31921.00ns INFO [00003990] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE267C691B782C0900000000000000000000000000000000000000000000 WIMG:0
31921.00ns INFO [00003990] Mem Update: @0001FE10 0001FE25->0001FE26
31929.00ns INFO [00003991] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 61000008 00000000 0001FE08 00000000 ..........ýø..&¼a.........þ.....
0001FE00: 00000061 00000100 0001FE18 00003A38 0001FE26 00000000 0001FF38 00003AC0 ...a......þ...:8..þ&......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32001.00ns INFO [00004000] ...tick...
32017.00ns INFO [00004002] C0: CP 0:003A44 0000000000003A44
32025.00ns INFO [00004003] GPR Update: R09=000000000001FE26
32049.00ns INFO [00004006] C0: CP 0:003A48 0000000000003A48
32057.00ns INFO [00004007] C0: CP 0:003A4C 0000000000003A4C
32057.00ns INFO [00004007] GPR Update: R09=0000000000000069
32065.00ns INFO [00004008] C0: CP 0:003A50 0000000000003A50
32065.00ns INFO [00004008] CR Update: F0=4
32217.00ns INFO [00004027] C0: CP 0:003A28 0000000000003A28
32225.00ns INFO [00004028] GPR Update: R09=000000000001FE26
32249.00ns INFO [00004031] C0: CP 0:003A2C 0000000000003A2C
32257.00ns INFO [00004032] C0: CP 0:003A30 1:003A34 0000000000003A30
32257.00ns INFO [00004032] GPR Update: R09=0000000000000069
32265.00ns INFO [00004033] C0: CP 0:0038D0 1:0038D4 00000000000038D0
32265.00ns INFO [00004033] GPR Update: R03=0000000000000069
32265.00ns INFO [00004033] LR Update:0000000000003A38
32273.00ns INFO [00004034] GPR Update: R00=0000000000003A38
32273.00ns INFO [00004034] GPR Update: R01=000000000001FDF8
32289.00ns INFO [00004036] C0: CP 0:0038D8 00000000000038D8
32297.00ns INFO [00004037] C0: CP 0:0038DC 1:0038E0 00000000000038DC
32305.00ns INFO [00004038] GPR Update: R09=0000000000020000
32305.00ns INFO [00004038] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
32305.00ns INFO [00004038] Mem Update: @0001FDF8 0001FE08->0001FE08
32313.00ns INFO [00004039] C0: CP 0:0038E4 00000000000038E4
32321.00ns INFO [00004040] C0: CP 0:0038E8 00000000000038E8
32321.00ns INFO [00004040] GPR Update: R09=0000000000000C00
32329.00ns INFO [00004041] C0: CP 0:0038EC 1:0038F0 00000000000038EC
32329.00ns INFO [00004041] CR Update: F0=4
32329.00ns INFO [00004041] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
32329.00ns INFO [00004041] Mem Update: @0001FE0C 00003A38->00003A38
32337.00ns INFO [00004042] C0: CP 0:0038F4 00000000000038F4
32337.00ns INFO [00004042] GPR Update: R09=0000000000020000
32337.00ns INFO [00004042] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=000000697C691B782C0900000000000000000000000000000000000000000000 WIMG:0
32337.00ns INFO [00004042] Mem Update: @0001FE00 00000061->00000069
32345.00ns INFO [00004043] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 61000008 00000000 0001FE08 00000000 ..........ýø..&¼a.........þ.....
0001FE00: 00000069 00000100 0001FE18 00003A38 0001FE26 00000000 0001FF38 00003AC0 ...i......þ...:8..þ&......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32345.00ns INFO [00004043] GPR Update: R09=0000000000000C00
32433.00ns INFO [00004054] C0: CP 0:0038F8 1:0038FC 00000000000038F8
32441.00ns INFO [00004055] GPR Update: R10=0000000000000069
32449.00ns INFO [00004056] C0: CP 0:003900 1:003904 0000000000003900
32457.00ns INFO [00004057] C0: CP 0:003908 0000000000003908
32457.00ns INFO [00004057] GPR Update: R03=0000000000000069
32457.00ns INFO [00004057] CTR Update:0000000000000C00
32465.00ns INFO [00004058] LR Update:000000000000390C
32593.00ns INFO [00004074] C0: CP 0:000C00 1:000C04 0000000000000C00
32601.00ns INFO [00004075] GPR Update: R01=000000000001FDE8
32601.00ns INFO [00004075] GPR Update: R09=0000000000000069
32625.00ns INFO [00004078] C0: CP 0:000C08 1:000C0C 0000000000000C08
32633.00ns INFO [00004079] GPR Update: R09=0000000000010000
32633.00ns INFO [00004079] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
32633.00ns INFO [00004079] Mem Update: @0001FDE8 0001FDF8->0001FDF8
32641.00ns INFO [00004080] C0: CP 0:000C10 0000000000000C10
32649.00ns INFO [00004081] C0: CP 0:000C14 1:000C18 0000000000000C14
32649.00ns INFO [00004081] GPR Update: R09=000000000001C006
32657.00ns INFO [00004082] GPR Update: R08=000000000001C007
32657.00ns INFO [00004082] GPR Update: R10=0000000000010000
32665.00ns INFO [00004083] C0: CP 0:000C1C 0000000000000C1C
32665.00ns INFO [00004083] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=697C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1627389960 16777215 0 0
32665.00ns INFO [00004083] Mem Update: @0001FDF0 61000008->69000008
130544 1761607688
32673.00ns INFO [00004084] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 69000008 00000000 0001FE08 00000000 ..........ýø..&¼i.........þ.....
0001FE00: 00000069 00000100 0001FE18 00003A38 0001FE26 00000000 0001FF38 00003AC0 ...i......þ...:8..þ&......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32705.00ns INFO [00004088] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0077C691B782C0900000000000000000000000000000000000000000000 WIMG:0
32705.00ns INFO [00004088] Mem Update: @00012000 0001C006->0001C007
32761.00ns INFO [00004095] C0: CP 0:000C20 0000000000000C20
32769.00ns INFO [00004096] GPR Update: R10=0000000000000069
32777.00ns INFO [00004097] C0: CP 0:000C24 1:000C28 0000000000000C24
32785.00ns INFO [00004098] C0: CP 0:000C2C 1:000C30 0000000000000C2C
32793.00ns INFO [00004099] GPR Update: R01=000000000001FDF8
32801.00ns INFO [00004100] ...tick...
32817.00ns INFO [00004102] T0 STORE 0001C006 tag=00 len=1 be=02000000 data=000000000000697C691B782C0900000000000000000000000000000000000000 WIMG:0
1835073536 4294902015 12 2
32817.00ns INFO [00004102] Mem Update: @0001C004 6D610000->6D616900
114692 1835100416
32825.00ns INFO [00004103] Print buffer:
0001C000: 7774660A 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 wtf.mai.........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
32929.00ns INFO [00004116] C0: CP 0:00390C 000000000000390C
32937.00ns INFO [00004117] C0: CP 0:003910 1:003914 0000000000003910
32937.00ns INFO [00004117] GPR Update: R09=0000000000000069
32945.00ns INFO [00004118] C0: CP 0:003918 1:00391C 0000000000003918
32945.00ns INFO [00004118] GPR Update: R00=0000000000003A38
32945.00ns INFO [00004118] GPR Update: R03=0000000000000069
32953.00ns INFO [00004119] C0: CP 0:003920 0000000000003920
32953.00ns INFO [00004119] GPR Update: R01=000000000001FE08
32953.00ns INFO [00004119] LR Update:0000000000003A38
33105.00ns INFO [00004138] C0: CP 0:003A38 1:003A3C 0000000000003A38
33113.00ns INFO [00004139] GPR Update: R09=000000000001FE27
33129.00ns INFO [00004141] C0: CP 0:003A40 0000000000003A40
33185.00ns INFO [00004148] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE277C691B782C0900000000000000000000000000000000000000000000 WIMG:0
33185.00ns INFO [00004148] Mem Update: @0001FE10 0001FE26->0001FE27
33193.00ns INFO [00004149] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 69000008 00000000 0001FE08 00000000 ..........ýø..&¼i.........þ.....
0001FE00: 00000069 00000100 0001FE18 00003A38 0001FE27 00000000 0001FF38 00003AC0 ...i......þ...:8..þ'......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33281.00ns INFO [00004160] C0: CP 0:003A44 0000000000003A44
33289.00ns INFO [00004161] GPR Update: R09=000000000001FE27
33313.00ns INFO [00004164] C0: CP 0:003A48 0000000000003A48
33321.00ns INFO [00004165] C0: CP 0:003A4C 0000000000003A4C
33321.00ns INFO [00004165] GPR Update: R09=000000000000006E
33329.00ns INFO [00004166] C0: CP 0:003A50 0000000000003A50
33329.00ns INFO [00004166] CR Update: F0=4
33481.00ns INFO [00004185] C0: CP 0:003A28 0000000000003A28
33489.00ns INFO [00004186] GPR Update: R09=000000000001FE27
33513.00ns INFO [00004189] C0: CP 0:003A2C 0000000000003A2C
33521.00ns INFO [00004190] C0: CP 0:003A30 1:003A34 0000000000003A30
33521.00ns INFO [00004190] GPR Update: R09=000000000000006E
33529.00ns INFO [00004191] C0: CP 0:0038D0 1:0038D4 00000000000038D0
33529.00ns INFO [00004191] GPR Update: R03=000000000000006E
33529.00ns INFO [00004191] LR Update:0000000000003A38
33537.00ns INFO [00004192] GPR Update: R00=0000000000003A38
33537.00ns INFO [00004192] GPR Update: R01=000000000001FDF8
33553.00ns INFO [00004194] C0: CP 0:0038D8 00000000000038D8
33561.00ns INFO [00004195] C0: CP 0:0038DC 1:0038E0 00000000000038DC
33569.00ns INFO [00004196] GPR Update: R09=0000000000020000
33569.00ns INFO [00004196] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
33569.00ns INFO [00004196] Mem Update: @0001FDF8 0001FE08->0001FE08
33577.00ns INFO [00004197] C0: CP 0:0038E4 00000000000038E4
33585.00ns INFO [00004198] C0: CP 0:0038E8 00000000000038E8
33585.00ns INFO [00004198] GPR Update: R09=0000000000000C00
33593.00ns INFO [00004199] C0: CP 0:0038EC 1:0038F0 00000000000038EC
33593.00ns INFO [00004199] CR Update: F0=4
33593.00ns INFO [00004199] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
33593.00ns INFO [00004199] Mem Update: @0001FE0C 00003A38->00003A38
33601.00ns INFO [00004200] ...tick...
33601.00ns INFO [00004200] C0: CP 0:0038F4 00000000000038F4
33601.00ns INFO [00004200] GPR Update: R09=0000000000020000
33601.00ns INFO [00004200] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=0000006E7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
33601.00ns INFO [00004200] Mem Update: @0001FE00 00000069->0000006E
33609.00ns INFO [00004201] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 69000008 00000000 0001FE08 00000000 ..........ýø..&¼i.........þ.....
0001FE00: 0000006E 00000100 0001FE18 00003A38 0001FE27 00000000 0001FF38 00003AC0 ...n......þ...:8..þ'......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33609.00ns INFO [00004201] GPR Update: R09=0000000000000C00
33697.00ns INFO [00004212] C0: CP 0:0038F8 1:0038FC 00000000000038F8
33705.00ns INFO [00004213] GPR Update: R10=000000000000006E
33713.00ns INFO [00004214] C0: CP 0:003900 1:003904 0000000000003900
33721.00ns INFO [00004215] C0: CP 0:003908 0000000000003908
33721.00ns INFO [00004215] GPR Update: R03=000000000000006E
33721.00ns INFO [00004215] CTR Update:0000000000000C00
33729.00ns INFO [00004216] LR Update:000000000000390C
33857.00ns INFO [00004232] C0: CP 0:000C00 1:000C04 0000000000000C00
33865.00ns INFO [00004233] GPR Update: R01=000000000001FDE8
33865.00ns INFO [00004233] GPR Update: R09=000000000000006E
33889.00ns INFO [00004236] C0: CP 0:000C08 1:000C0C 0000000000000C08
33897.00ns INFO [00004237] GPR Update: R09=0000000000010000
33897.00ns INFO [00004237] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
33897.00ns INFO [00004237] Mem Update: @0001FDE8 0001FDF8->0001FDF8
33905.00ns INFO [00004238] C0: CP 0:000C10 0000000000000C10
33913.00ns INFO [00004239] C0: CP 0:000C14 1:000C18 0000000000000C14
33913.00ns INFO [00004239] GPR Update: R09=000000000001C007
33921.00ns INFO [00004240] GPR Update: R08=000000000001C008
33921.00ns INFO [00004240] GPR Update: R10=0000000000010000
33929.00ns INFO [00004241] C0: CP 0:000C1C 0000000000000C1C
33929.00ns INFO [00004241] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=6E7C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1761607688 16777215 0 0
33929.00ns INFO [00004241] Mem Update: @0001FDF0 69000008->6E000008
130544 1845493768
33937.00ns INFO [00004242] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6E000008 00000000 0001FE08 00000000 ..........ýø..&¼n.........þ.....
0001FE00: 0000006E 00000100 0001FE18 00003A38 0001FE27 00000000 0001FF38 00003AC0 ...n......þ...:8..þ'......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33969.00ns INFO [00004246] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0087C691B782C0900000000000000000000000000000000000000000000 WIMG:0
33969.00ns INFO [00004246] Mem Update: @00012000 0001C007->0001C008
34025.00ns INFO [00004253] C0: CP 0:000C20 0000000000000C20
34033.00ns INFO [00004254] GPR Update: R10=000000000000006E
34041.00ns INFO [00004255] C0: CP 0:000C24 1:000C28 0000000000000C24
34049.00ns INFO [00004256] C0: CP 0:000C2C 1:000C30 0000000000000C2C
34057.00ns INFO [00004257] GPR Update: R01=000000000001FDF8
34081.00ns INFO [00004260] T0 STORE 0001C007 tag=00 len=1 be=01000000 data=000000000000006E7C691B782C09000000000000000000000000000000000000 WIMG:0
1835100416 4294967040 14 3
34081.00ns INFO [00004260] Mem Update: @0001C004 6D616900->6D61696E
114692 1835100526
34089.00ns INFO [00004261] Print buffer:
0001C000: 7774660A 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 wtf.main........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
34193.00ns INFO [00004274] C0: CP 0:00390C 000000000000390C
34201.00ns INFO [00004275] C0: CP 0:003910 1:003914 0000000000003910
34201.00ns INFO [00004275] GPR Update: R09=000000000000006E
34209.00ns INFO [00004276] C0: CP 0:003918 1:00391C 0000000000003918
34209.00ns INFO [00004276] GPR Update: R00=0000000000003A38
34209.00ns INFO [00004276] GPR Update: R03=000000000000006E
34217.00ns INFO [00004277] C0: CP 0:003920 0000000000003920
34217.00ns INFO [00004277] GPR Update: R01=000000000001FE08
34217.00ns INFO [00004277] LR Update:0000000000003A38
34369.00ns INFO [00004296] C0: CP 0:003A38 1:003A3C 0000000000003A38
34377.00ns INFO [00004297] GPR Update: R09=000000000001FE28
34393.00ns INFO [00004299] C0: CP 0:003A40 0000000000003A40
34401.00ns INFO [00004300] ...tick...
34449.00ns INFO [00004306] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE287C691B782C0900000000000000000000000000000000000000000000 WIMG:0
34449.00ns INFO [00004306] Mem Update: @0001FE10 0001FE27->0001FE28
34457.00ns INFO [00004307] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6E000008 00000000 0001FE08 00000000 ..........ýø..&¼n.........þ.....
0001FE00: 0000006E 00000100 0001FE18 00003A38 0001FE28 00000000 0001FF38 00003AC0 ...n......þ...:8..þ(......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
34545.00ns INFO [00004318] C0: CP 0:003A44 0000000000003A44
34553.00ns INFO [00004319] GPR Update: R09=000000000001FE28
34577.00ns INFO [00004322] C0: CP 0:003A48 0000000000003A48
34585.00ns INFO [00004323] C0: CP 0:003A4C 0000000000003A4C
34585.00ns INFO [00004323] GPR Update: R09=0000000000000028
34593.00ns INFO [00004324] C0: CP 0:003A50 0000000000003A50
34593.00ns INFO [00004324] CR Update: F0=4
34745.00ns INFO [00004343] C0: CP 0:003A28 0000000000003A28
34753.00ns INFO [00004344] GPR Update: R09=000000000001FE28
34777.00ns INFO [00004347] C0: CP 0:003A2C 0000000000003A2C
34785.00ns INFO [00004348] C0: CP 0:003A30 1:003A34 0000000000003A30
34785.00ns INFO [00004348] GPR Update: R09=0000000000000028
34793.00ns INFO [00004349] C0: CP 0:0038D0 1:0038D4 00000000000038D0
34793.00ns INFO [00004349] GPR Update: R03=0000000000000028
34793.00ns INFO [00004349] LR Update:0000000000003A38
34801.00ns INFO [00004350] GPR Update: R00=0000000000003A38
34801.00ns INFO [00004350] GPR Update: R01=000000000001FDF8
34817.00ns INFO [00004352] C0: CP 0:0038D8 00000000000038D8
34825.00ns INFO [00004353] C0: CP 0:0038DC 1:0038E0 00000000000038DC
34833.00ns INFO [00004354] GPR Update: R09=0000000000020000
34833.00ns INFO [00004354] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
34833.00ns INFO [00004354] Mem Update: @0001FDF8 0001FE08->0001FE08
34841.00ns INFO [00004355] C0: CP 0:0038E4 00000000000038E4
34849.00ns INFO [00004356] C0: CP 0:0038E8 00000000000038E8
34849.00ns INFO [00004356] GPR Update: R09=0000000000000C00
34857.00ns INFO [00004357] C0: CP 0:0038EC 1:0038F0 00000000000038EC
34857.00ns INFO [00004357] CR Update: F0=4
34857.00ns INFO [00004357] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
34857.00ns INFO [00004357] Mem Update: @0001FE0C 00003A38->00003A38
34865.00ns INFO [00004358] C0: CP 0:0038F4 00000000000038F4
34865.00ns INFO [00004358] GPR Update: R09=0000000000020000
34865.00ns INFO [00004358] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=000000287C691B782C0900000000000000000000000000000000000000000000 WIMG:0
34865.00ns INFO [00004358] Mem Update: @0001FE00 0000006E->00000028
34873.00ns INFO [00004359] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 6E000008 00000000 0001FE08 00000000 ..........ýø..&¼n.........þ.....
0001FE00: 00000028 00000100 0001FE18 00003A38 0001FE28 00000000 0001FF38 00003AC0 ...(......þ...:8..þ(......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
34873.00ns INFO [00004359] GPR Update: R09=0000000000000C00
34961.00ns INFO [00004370] C0: CP 0:0038F8 1:0038FC 00000000000038F8
34969.00ns INFO [00004371] GPR Update: R10=0000000000000028
34977.00ns INFO [00004372] C0: CP 0:003900 1:003904 0000000000003900
34985.00ns INFO [00004373] C0: CP 0:003908 0000000000003908
34985.00ns INFO [00004373] GPR Update: R03=0000000000000028
34985.00ns INFO [00004373] CTR Update:0000000000000C00
34993.00ns INFO [00004374] LR Update:000000000000390C
35121.00ns INFO [00004390] C0: CP 0:000C00 1:000C04 0000000000000C00
35129.00ns INFO [00004391] GPR Update: R01=000000000001FDE8
35129.00ns INFO [00004391] GPR Update: R09=0000000000000028
35153.00ns INFO [00004394] C0: CP 0:000C08 1:000C0C 0000000000000C08
35161.00ns INFO [00004395] GPR Update: R09=0000000000010000
35161.00ns INFO [00004395] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
35161.00ns INFO [00004395] Mem Update: @0001FDE8 0001FDF8->0001FDF8
35169.00ns INFO [00004396] C0: CP 0:000C10 0000000000000C10
35177.00ns INFO [00004397] C0: CP 0:000C14 1:000C18 0000000000000C14
35177.00ns INFO [00004397] GPR Update: R09=000000000001C008
35185.00ns INFO [00004398] GPR Update: R08=000000000001C009
35185.00ns INFO [00004398] GPR Update: R10=0000000000010000
35193.00ns INFO [00004399] C0: CP 0:000C1C 0000000000000C1C
35193.00ns INFO [00004399] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=287C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
1845493768 16777215 0 0
35193.00ns INFO [00004399] Mem Update: @0001FDF0 6E000008->28000008
130544 671088648
35201.00ns INFO [00004400] ...tick...
35201.00ns INFO [00004400] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 28000008 00000000 0001FE08 00000000 ..........ýø..&¼(.........þ.....
0001FE00: 00000028 00000100 0001FE18 00003A38 0001FE28 00000000 0001FF38 00003AC0 ...(......þ...:8..þ(......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35233.00ns INFO [00004404] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0097C691B782C0900000000000000000000000000000000000000000000 WIMG:0
35233.00ns INFO [00004404] Mem Update: @00012000 0001C008->0001C009
35289.00ns INFO [00004411] C0: CP 0:000C20 0000000000000C20
35297.00ns INFO [00004412] GPR Update: R10=0000000000000028
35305.00ns INFO [00004413] C0: CP 0:000C24 1:000C28 0000000000000C24
35313.00ns INFO [00004414] C0: CP 0:000C2C 1:000C30 0000000000000C2C
35321.00ns INFO [00004415] GPR Update: R01=000000000001FDF8
35345.00ns INFO [00004418] T0 STORE 0001C008 tag=00 len=1 be=00800000 data=0000000000000000287C691B782C090000000000000000000000000000000000 WIMG:0
0 16777215 16 0
35345.00ns INFO [00004418] Mem Update: @0001C008 XXXXXXXX->28000000
114696 671088640
35353.00ns INFO [00004419] Print buffer:
0001C000: 7774660A 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 wtf.main(.......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
35457.00ns INFO [00004432] C0: CP 0:00390C 000000000000390C
35465.00ns INFO [00004433] C0: CP 0:003910 1:003914 0000000000003910
35465.00ns INFO [00004433] GPR Update: R09=0000000000000028
35473.00ns INFO [00004434] C0: CP 0:003918 1:00391C 0000000000003918
35473.00ns INFO [00004434] GPR Update: R00=0000000000003A38
35473.00ns INFO [00004434] GPR Update: R03=0000000000000028
35481.00ns INFO [00004435] C0: CP 0:003920 0000000000003920
35481.00ns INFO [00004435] GPR Update: R01=000000000001FE08
35481.00ns INFO [00004435] LR Update:0000000000003A38
35633.00ns INFO [00004454] C0: CP 0:003A38 1:003A3C 0000000000003A38
35641.00ns INFO [00004455] GPR Update: R09=000000000001FE29
35657.00ns INFO [00004457] C0: CP 0:003A40 0000000000003A40
35713.00ns INFO [00004464] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE297C691B782C0900000000000000000000000000000000000000000000 WIMG:0
35713.00ns INFO [00004464] Mem Update: @0001FE10 0001FE28->0001FE29
35721.00ns INFO [00004465] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 28000008 00000000 0001FE08 00000000 ..........ýø..&¼(.........þ.....
0001FE00: 00000028 00000100 0001FE18 00003A38 0001FE29 00000000 0001FF38 00003AC0 ...(......þ...:8..þ)......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35809.00ns INFO [00004476] C0: CP 0:003A44 0000000000003A44
35817.00ns INFO [00004477] GPR Update: R09=000000000001FE29
35841.00ns INFO [00004480] C0: CP 0:003A48 0000000000003A48
35849.00ns INFO [00004481] C0: CP 0:003A4C 0000000000003A4C
35849.00ns INFO [00004481] GPR Update: R09=0000000000000030
35857.00ns INFO [00004482] C0: CP 0:003A50 0000000000003A50
35857.00ns INFO [00004482] CR Update: F0=4
36001.00ns INFO [00004500] ...tick...
36009.00ns INFO [00004501] C0: CP 0:003A28 0000000000003A28
36017.00ns INFO [00004502] GPR Update: R09=000000000001FE29
36041.00ns INFO [00004505] C0: CP 0:003A2C 0000000000003A2C
36049.00ns INFO [00004506] C0: CP 0:003A30 1:003A34 0000000000003A30
36049.00ns INFO [00004506] GPR Update: R09=0000000000000030
36057.00ns INFO [00004507] C0: CP 0:0038D0 1:0038D4 00000000000038D0
36057.00ns INFO [00004507] GPR Update: R03=0000000000000030
36057.00ns INFO [00004507] LR Update:0000000000003A38
36065.00ns INFO [00004508] GPR Update: R00=0000000000003A38
36065.00ns INFO [00004508] GPR Update: R01=000000000001FDF8
36081.00ns INFO [00004510] C0: CP 0:0038D8 00000000000038D8
36089.00ns INFO [00004511] C0: CP 0:0038DC 1:0038E0 00000000000038DC
36097.00ns INFO [00004512] GPR Update: R09=0000000000020000
36097.00ns INFO [00004512] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
36097.00ns INFO [00004512] Mem Update: @0001FDF8 0001FE08->0001FE08
36105.00ns INFO [00004513] C0: CP 0:0038E4 00000000000038E4
36113.00ns INFO [00004514] C0: CP 0:0038E8 00000000000038E8
36113.00ns INFO [00004514] GPR Update: R09=0000000000000C00
36121.00ns INFO [00004515] C0: CP 0:0038EC 1:0038F0 00000000000038EC
36121.00ns INFO [00004515] CR Update: F0=4
36121.00ns INFO [00004515] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
36121.00ns INFO [00004515] Mem Update: @0001FE0C 00003A38->00003A38
36129.00ns INFO [00004516] C0: CP 0:0038F4 00000000000038F4
36129.00ns INFO [00004516] GPR Update: R09=0000000000020000
36129.00ns INFO [00004516] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=000000307C691B782C0900000000000000000000000000000000000000000000 WIMG:0
36129.00ns INFO [00004516] Mem Update: @0001FE00 00000028->00000030
36137.00ns INFO [00004517] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 28000008 00000000 0001FE08 00000000 ..........ýø..&¼(.........þ.....
0001FE00: 00000030 00000100 0001FE18 00003A38 0001FE29 00000000 0001FF38 00003AC0 ...0......þ...:8..þ)......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36137.00ns INFO [00004517] GPR Update: R09=0000000000000C00
36225.00ns INFO [00004528] C0: CP 0:0038F8 1:0038FC 00000000000038F8
36233.00ns INFO [00004529] GPR Update: R10=0000000000000030
36241.00ns INFO [00004530] C0: CP 0:003900 1:003904 0000000000003900
36249.00ns INFO [00004531] C0: CP 0:003908 0000000000003908
36249.00ns INFO [00004531] GPR Update: R03=0000000000000030
36249.00ns INFO [00004531] CTR Update:0000000000000C00
36257.00ns INFO [00004532] LR Update:000000000000390C
36385.00ns INFO [00004548] C0: CP 0:000C00 1:000C04 0000000000000C00
36393.00ns INFO [00004549] GPR Update: R01=000000000001FDE8
36393.00ns INFO [00004549] GPR Update: R09=0000000000000030
36417.00ns INFO [00004552] C0: CP 0:000C08 1:000C0C 0000000000000C08
36425.00ns INFO [00004553] GPR Update: R09=0000000000010000
36425.00ns INFO [00004553] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
36425.00ns INFO [00004553] Mem Update: @0001FDE8 0001FDF8->0001FDF8
36433.00ns INFO [00004554] C0: CP 0:000C10 0000000000000C10
36441.00ns INFO [00004555] C0: CP 0:000C14 1:000C18 0000000000000C14
36441.00ns INFO [00004555] GPR Update: R09=000000000001C009
36449.00ns INFO [00004556] GPR Update: R08=000000000001C00A
36449.00ns INFO [00004556] GPR Update: R10=0000000000010000
36457.00ns INFO [00004557] C0: CP 0:000C1C 0000000000000C1C
36457.00ns INFO [00004557] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=307C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
671088648 16777215 0 0
36457.00ns INFO [00004557] Mem Update: @0001FDF0 28000008->30000008
130544 805306376
36465.00ns INFO [00004558] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 30000008 00000000 0001FE08 00000000 ..........ýø..&¼0.........þ.....
0001FE00: 00000030 00000100 0001FE18 00003A38 0001FE29 00000000 0001FF38 00003AC0 ...0......þ...:8..þ)......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
36497.00ns INFO [00004562] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00A7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
36497.00ns INFO [00004562] Mem Update: @00012000 0001C009->0001C00A
36553.00ns INFO [00004569] C0: CP 0:000C20 0000000000000C20
36561.00ns INFO [00004570] GPR Update: R10=0000000000000030
36569.00ns INFO [00004571] C0: CP 0:000C24 1:000C28 0000000000000C24
36577.00ns INFO [00004572] C0: CP 0:000C2C 1:000C30 0000000000000C2C
36585.00ns INFO [00004573] GPR Update: R01=000000000001FDF8
36609.00ns INFO [00004576] T0 STORE 0001C009 tag=00 len=1 be=00400000 data=000000000000000000307C691B782C0900000000000000000000000000000000 WIMG:0
671088640 4278255615 18 1
36609.00ns INFO [00004576] Mem Update: @0001C008 28000000->28300000
114696 674234368
36617.00ns INFO [00004577] Print buffer:
0001C000: 7774660A 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 wtf.main(0......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
36721.00ns INFO [00004590] C0: CP 0:00390C 000000000000390C
36729.00ns INFO [00004591] C0: CP 0:003910 1:003914 0000000000003910
36729.00ns INFO [00004591] GPR Update: R09=0000000000000030
36737.00ns INFO [00004592] C0: CP 0:003918 1:00391C 0000000000003918
36737.00ns INFO [00004592] GPR Update: R00=0000000000003A38
36737.00ns INFO [00004592] GPR Update: R03=0000000000000030
36745.00ns INFO [00004593] C0: CP 0:003920 0000000000003920
36745.00ns INFO [00004593] GPR Update: R01=000000000001FE08
36745.00ns INFO [00004593] LR Update:0000000000003A38
36801.00ns INFO [00004600] ...tick...
36897.00ns INFO [00004612] C0: CP 0:003A38 1:003A3C 0000000000003A38
36905.00ns INFO [00004613] GPR Update: R09=000000000001FE2A
36921.00ns INFO [00004615] C0: CP 0:003A40 0000000000003A40
36977.00ns INFO [00004622] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2A7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
36977.00ns INFO [00004622] Mem Update: @0001FE10 0001FE29->0001FE2A
36985.00ns INFO [00004623] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 30000008 00000000 0001FE08 00000000 ..........ýø..&¼0.........þ.....
0001FE00: 00000030 00000100 0001FE18 00003A38 0001FE2A 00000000 0001FF38 00003AC0 ...0......þ...:8..þ*......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37073.00ns INFO [00004634] C0: CP 0:003A44 0000000000003A44
37081.00ns INFO [00004635] GPR Update: R09=000000000001FE2A
37105.00ns INFO [00004638] C0: CP 0:003A48 0000000000003A48
37113.00ns INFO [00004639] C0: CP 0:003A4C 0000000000003A4C
37113.00ns INFO [00004639] GPR Update: R09=0000000000000029
37121.00ns INFO [00004640] C0: CP 0:003A50 0000000000003A50
37121.00ns INFO [00004640] CR Update: F0=4
37273.00ns INFO [00004659] C0: CP 0:003A28 0000000000003A28
37281.00ns INFO [00004660] GPR Update: R09=000000000001FE2A
37305.00ns INFO [00004663] C0: CP 0:003A2C 0000000000003A2C
37313.00ns INFO [00004664] C0: CP 0:003A30 1:003A34 0000000000003A30
37313.00ns INFO [00004664] GPR Update: R09=0000000000000029
37321.00ns INFO [00004665] C0: CP 0:0038D0 1:0038D4 00000000000038D0
37321.00ns INFO [00004665] GPR Update: R03=0000000000000029
37321.00ns INFO [00004665] LR Update:0000000000003A38
37329.00ns INFO [00004666] GPR Update: R00=0000000000003A38
37329.00ns INFO [00004666] GPR Update: R01=000000000001FDF8
37345.00ns INFO [00004668] C0: CP 0:0038D8 00000000000038D8
37353.00ns INFO [00004669] C0: CP 0:0038DC 1:0038E0 00000000000038DC
37361.00ns INFO [00004670] GPR Update: R09=0000000000020000
37361.00ns INFO [00004670] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
37361.00ns INFO [00004670] Mem Update: @0001FDF8 0001FE08->0001FE08
37369.00ns INFO [00004671] C0: CP 0:0038E4 00000000000038E4
37377.00ns INFO [00004672] C0: CP 0:0038E8 00000000000038E8
37377.00ns INFO [00004672] GPR Update: R09=0000000000000C00
37385.00ns INFO [00004673] C0: CP 0:0038EC 1:0038F0 00000000000038EC
37385.00ns INFO [00004673] CR Update: F0=4
37385.00ns INFO [00004673] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
37385.00ns INFO [00004673] Mem Update: @0001FE0C 00003A38->00003A38
37393.00ns INFO [00004674] C0: CP 0:0038F4 00000000000038F4
37393.00ns INFO [00004674] GPR Update: R09=0000000000020000
37393.00ns INFO [00004674] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=000000297C691B782C0900000000000000000000000000000000000000000000 WIMG:0
37393.00ns INFO [00004674] Mem Update: @0001FE00 00000030->00000029
37401.00ns INFO [00004675] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 30000008 00000000 0001FE08 00000000 ..........ýø..&¼0.........þ.....
0001FE00: 00000029 00000100 0001FE18 00003A38 0001FE2A 00000000 0001FF38 00003AC0 ...)......þ...:8..þ*......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37401.00ns INFO [00004675] GPR Update: R09=0000000000000C00
37489.00ns INFO [00004686] C0: CP 0:0038F8 1:0038FC 00000000000038F8
37497.00ns INFO [00004687] GPR Update: R10=0000000000000029
37505.00ns INFO [00004688] C0: CP 0:003900 1:003904 0000000000003900
37513.00ns INFO [00004689] C0: CP 0:003908 0000000000003908
37513.00ns INFO [00004689] GPR Update: R03=0000000000000029
37513.00ns INFO [00004689] CTR Update:0000000000000C00
37521.00ns INFO [00004690] LR Update:000000000000390C
37601.00ns INFO [00004700] ...tick...
37649.00ns INFO [00004706] C0: CP 0:000C00 1:000C04 0000000000000C00
37657.00ns INFO [00004707] GPR Update: R01=000000000001FDE8
37657.00ns INFO [00004707] GPR Update: R09=0000000000000029
37681.00ns INFO [00004710] C0: CP 0:000C08 1:000C0C 0000000000000C08
37689.00ns INFO [00004711] GPR Update: R09=0000000000010000
37689.00ns INFO [00004711] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
37689.00ns INFO [00004711] Mem Update: @0001FDE8 0001FDF8->0001FDF8
37697.00ns INFO [00004712] C0: CP 0:000C10 0000000000000C10
37705.00ns INFO [00004713] C0: CP 0:000C14 1:000C18 0000000000000C14
37705.00ns INFO [00004713] GPR Update: R09=000000000001C00A
37713.00ns INFO [00004714] GPR Update: R08=000000000001C00B
37713.00ns INFO [00004714] GPR Update: R10=0000000000010000
37721.00ns INFO [00004715] C0: CP 0:000C1C 0000000000000C1C
37721.00ns INFO [00004715] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=297C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
805306376 16777215 0 0
37721.00ns INFO [00004715] Mem Update: @0001FDF0 30000008->29000008
130544 687865864
37729.00ns INFO [00004716] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 29000008 00000000 0001FE08 00000000 ..........ýø..&¼).........þ.....
0001FE00: 00000029 00000100 0001FE18 00003A38 0001FE2A 00000000 0001FF38 00003AC0 ...)......þ...:8..þ*......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37761.00ns INFO [00004720] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00B7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
37761.00ns INFO [00004720] Mem Update: @00012000 0001C00A->0001C00B
37817.00ns INFO [00004727] C0: CP 0:000C20 0000000000000C20
37825.00ns INFO [00004728] GPR Update: R10=0000000000000029
37833.00ns INFO [00004729] C0: CP 0:000C24 1:000C28 0000000000000C24
37841.00ns INFO [00004730] C0: CP 0:000C2C 1:000C30 0000000000000C2C
37849.00ns INFO [00004731] GPR Update: R01=000000000001FDF8
37873.00ns INFO [00004734] T0 STORE 0001C00A tag=00 len=1 be=00200000 data=09000000000000000000297C691B782C00000000000000000000000000000000 WIMG:0
674234368 4294902015 20 2
37873.00ns INFO [00004734] Mem Update: @0001C008 28300000->28302900
114696 674244864
37881.00ns INFO [00004735] Print buffer:
0001C000: 7774660A 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
37985.00ns INFO [00004748] C0: CP 0:00390C 000000000000390C
37993.00ns INFO [00004749] C0: CP 0:003910 1:003914 0000000000003910
37993.00ns INFO [00004749] GPR Update: R09=0000000000000029
38001.00ns INFO [00004750] C0: CP 0:003918 1:00391C 0000000000003918
38001.00ns INFO [00004750] GPR Update: R00=0000000000003A38
38001.00ns INFO [00004750] GPR Update: R03=0000000000000029
38009.00ns INFO [00004751] C0: CP 0:003920 0000000000003920
38009.00ns INFO [00004751] GPR Update: R01=000000000001FE08
38009.00ns INFO [00004751] LR Update:0000000000003A38
38161.00ns INFO [00004770] C0: CP 0:003A38 1:003A3C 0000000000003A38
38169.00ns INFO [00004771] GPR Update: R09=000000000001FE2B
38185.00ns INFO [00004773] C0: CP 0:003A40 0000000000003A40
38241.00ns INFO [00004780] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2B7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
38241.00ns INFO [00004780] Mem Update: @0001FE10 0001FE2A->0001FE2B
38249.00ns INFO [00004781] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 29000008 00000000 0001FE08 00000000 ..........ýø..&¼).........þ.....
0001FE00: 00000029 00000100 0001FE18 00003A38 0001FE2B 00000000 0001FF38 00003AC0 ...)......þ...:8..þ+......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
38337.00ns INFO [00004792] C0: CP 0:003A44 0000000000003A44
38345.00ns INFO [00004793] GPR Update: R09=000000000001FE2B
38369.00ns INFO [00004796] C0: CP 0:003A48 0000000000003A48
38377.00ns INFO [00004797] C0: CP 0:003A4C 0000000000003A4C
38377.00ns INFO [00004797] GPR Update: R09=000000000000000A
38385.00ns INFO [00004798] C0: CP 0:003A50 0000000000003A50
38385.00ns INFO [00004798] CR Update: F0=4
38401.00ns INFO [00004800] ...tick...
38537.00ns INFO [00004817] C0: CP 0:003A28 0000000000003A28
38545.00ns INFO [00004818] GPR Update: R09=000000000001FE2B
38569.00ns INFO [00004821] C0: CP 0:003A2C 0000000000003A2C
38577.00ns INFO [00004822] C0: CP 0:003A30 1:003A34 0000000000003A30
38577.00ns INFO [00004822] GPR Update: R09=000000000000000A
38585.00ns INFO [00004823] C0: CP 0:0038D0 1:0038D4 00000000000038D0
38585.00ns INFO [00004823] GPR Update: R03=000000000000000A
38585.00ns INFO [00004823] LR Update:0000000000003A38
38593.00ns INFO [00004824] GPR Update: R00=0000000000003A38
38593.00ns INFO [00004824] GPR Update: R01=000000000001FDF8
38609.00ns INFO [00004826] C0: CP 0:0038D8 00000000000038D8
38617.00ns INFO [00004827] C0: CP 0:0038DC 1:0038E0 00000000000038DC
38625.00ns INFO [00004828] GPR Update: R09=0000000000020000
38625.00ns INFO [00004828] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=2C090000000000000001FE087C691B7800000000000000000000000000000000 WIMG:0
38625.00ns INFO [00004828] Mem Update: @0001FDF8 0001FE08->0001FE08
38633.00ns INFO [00004829] C0: CP 0:0038E4 00000000000038E4
38641.00ns INFO [00004830] C0: CP 0:0038E8 00000000000038E8
38641.00ns INFO [00004830] GPR Update: R09=0000000000000C00
38649.00ns INFO [00004831] C0: CP 0:0038EC 1:0038F0 00000000000038EC
38649.00ns INFO [00004831] CR Update: F0=4
38649.00ns INFO [00004831] T0 STORE 0001FE0C tag=01 len=4 be=000F0000 data=7C691B782C0900000000000000003A3800000000000000000000000000000000 WIMG:0
38649.00ns INFO [00004831] Mem Update: @0001FE0C 00003A38->00003A38
38657.00ns INFO [00004832] C0: CP 0:0038F4 00000000000038F4
38657.00ns INFO [00004832] GPR Update: R09=0000000000020000
38657.00ns INFO [00004832] T0 STORE 0001FE00 tag=02 len=4 be=F0000000 data=0000000A7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
38657.00ns INFO [00004832] Mem Update: @0001FE00 00000029->0000000A
38665.00ns INFO [00004833] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 29000008 00000000 0001FE08 00000000 ..........ýø..&¼).........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2B 00000000 0001FF38 00003AC0 ..........þ...:8..þ+......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
38665.00ns INFO [00004833] GPR Update: R09=0000000000000C00
38753.00ns INFO [00004844] C0: CP 0:0038F8 1:0038FC 00000000000038F8
38761.00ns INFO [00004845] GPR Update: R10=000000000000000A
38769.00ns INFO [00004846] C0: CP 0:003900 1:003904 0000000000003900
38777.00ns INFO [00004847] C0: CP 0:003908 0000000000003908
38777.00ns INFO [00004847] GPR Update: R03=000000000000000A
38777.00ns INFO [00004847] CTR Update:0000000000000C00
38785.00ns INFO [00004848] LR Update:000000000000390C
38913.00ns INFO [00004864] C0: CP 0:000C00 1:000C04 0000000000000C00
38921.00ns INFO [00004865] GPR Update: R01=000000000001FDE8
38921.00ns INFO [00004865] GPR Update: R09=000000000000000A
38945.00ns INFO [00004868] C0: CP 0:000C08 1:000C0C 0000000000000C08
38953.00ns INFO [00004869] GPR Update: R09=0000000000010000
38953.00ns INFO [00004869] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=2C090000000000000001FDF87C691B7800000000000000000000000000000000 WIMG:0
38953.00ns INFO [00004869] Mem Update: @0001FDE8 0001FDF8->0001FDF8
38961.00ns INFO [00004870] C0: CP 0:000C10 0000000000000C10
38969.00ns INFO [00004871] C0: CP 0:000C14 1:000C18 0000000000000C14
38969.00ns INFO [00004871] GPR Update: R09=000000000001C00B
38977.00ns INFO [00004872] GPR Update: R08=000000000001C00C
38977.00ns INFO [00004872] GPR Update: R10=0000000000010000
38985.00ns INFO [00004873] C0: CP 0:000C1C 0000000000000C1C
38985.00ns INFO [00004873] T0 STORE 0001FDF0 tag=01 len=1 be=80000000 data=0A7C691B782C0900000000000000000000000000000000000000000000000000 WIMG:0
687865864 16777215 0 0
38985.00ns INFO [00004873] Mem Update: @0001FDF0 29000008->0A000008
130544 167772168
38993.00ns INFO [00004874] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2B 00000000 0001FF38 00003AC0 ..........þ...:8..þ+......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39025.00ns INFO [00004878] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00C7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
39025.00ns INFO [00004878] Mem Update: @00012000 0001C00B->0001C00C
39081.00ns INFO [00004885] C0: CP 0:000C20 0000000000000C20
39089.00ns INFO [00004886] GPR Update: R10=000000000000000A
39097.00ns INFO [00004887] C0: CP 0:000C24 1:000C28 0000000000000C24
39105.00ns INFO [00004888] C0: CP 0:000C2C 1:000C30 0000000000000C2C
39113.00ns INFO [00004889] GPR Update: R01=000000000001FDF8
39137.00ns INFO [00004892] T0 STORE 0001C00B tag=00 len=1 be=00100000 data=2C090000000000000000000A7C691B7800000000000000000000000000000000 WIMG:0
674244864 4294967040 22 3
39137.00ns INFO [00004892] Mem Update: @0001C008 28302900->2830290A
114696 674244874
39145.00ns INFO [00004893] Print buffer:
0001C000: 7774660A 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
39201.00ns INFO [00004900] ...tick...
39249.00ns INFO [00004906] C0: CP 0:00390C 000000000000390C
39257.00ns INFO [00004907] C0: CP 0:003910 1:003914 0000000000003910
39257.00ns INFO [00004907] GPR Update: R09=000000000000000A
39265.00ns INFO [00004908] C0: CP 0:003918 1:00391C 0000000000003918
39265.00ns INFO [00004908] GPR Update: R00=0000000000003A38
39265.00ns INFO [00004908] GPR Update: R03=000000000000000A
39273.00ns INFO [00004909] C0: CP 0:003920 0000000000003920
39273.00ns INFO [00004909] GPR Update: R01=000000000001FE08
39273.00ns INFO [00004909] LR Update:0000000000003A38
39425.00ns INFO [00004928] C0: CP 0:003A38 1:003A3C 0000000000003A38
39433.00ns INFO [00004929] GPR Update: R09=000000000001FE2C
39449.00ns INFO [00004931] C0: CP 0:003A40 0000000000003A40
39505.00ns INFO [00004938] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2C7C691B782C0900000000000000000000000000000000000000000000 WIMG:0
39505.00ns INFO [00004938] Mem Update: @0001FE10 0001FE2B->0001FE2C
39513.00ns INFO [00004939] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
39601.00ns INFO [00004950] C0: CP 0:003A44 0000000000003A44
39609.00ns INFO [00004951] GPR Update: R09=000000000001FE2C
39633.00ns INFO [00004954] C0: CP 0:003A48 0000000000003A48
39641.00ns INFO [00004955] C0: CP 0:003A4C 0000000000003A4C
39641.00ns INFO [00004955] GPR Update: R09=0000000000000000
39649.00ns INFO [00004956] C0: CP 0:003A50 1:003A54 0000000000003A50
39649.00ns INFO [00004956] CR Update: F0=2
39657.00ns INFO [00004957] C0: CP 0:003A58 1:003A5C 0000000000003A58
39665.00ns INFO [00004958] C0: CP 0:003A60 1:003A64 0000000000003A60
39665.00ns INFO [00004958] GPR Update: R00=0000000000003AC0
39673.00ns INFO [00004959] C0: CP 0:003A68 0000000000003A68
39673.00ns INFO [00004959] GPR Update: R01=000000000001FE18
39673.00ns INFO [00004959] LR Update:0000000000003AC0
39817.00ns INFO [00004977] C0: CP 0:003AC0 1:003AC4 0000000000003AC0
39825.00ns INFO [00004978] C0: CP 0:003AC8 0000000000003AC8
39825.00ns INFO [00004978] GPR Update: R03=0000000000000008
39825.00ns INFO [00004978] GPR Update: R09=0000000000000008
39833.00ns INFO [00004979] C0: CP 0:003ACC 1:003AD0 0000000000003ACC
39833.00ns INFO [00004979] GPR Update: R00=0000000000003B58
39841.00ns INFO [00004980] C0: CP 0:003AD4 0000000000003AD4
39841.00ns INFO [00004980] GPR Update: R01=000000000001FF38
39841.00ns INFO [00004980] LR Update:0000000000003B58
39905.00ns INFO [00004988] T0 IFETCH 00003B80 tag=08 len=16 WIMG:0 reld data:4994
39929.00ns INFO [00004991] T0 IFETCH 00003BC0 tag=09 len=16 WIMG:0 reld data:4998
39953.00ns INFO [00004994] RELD tag=08 00000000000000000000000000000000 1of4 crit
39961.00ns INFO [00004995] C0: CP 0:003B58 0000000000003B58
39961.00ns INFO [00004995] RELD tag=08 00000000000000000000000000000000 2of4
39969.00ns INFO [00004996] GPR Update: R09=0000000000000008
39969.00ns INFO [00004996] RELD tag=08 00000000000000000000000000000000 3of4
39977.00ns INFO [00004997] RELD tag=08 00000000000000000000000000000000 4of4
39985.00ns INFO [00004998] C0: CP 0:003B5C 0000000000003B5C
39985.00ns INFO [00004998] RELD tag=09 00000000000000000000000000000000 1of4 crit
39993.00ns INFO [00004999] RELD tag=09 00000000000000000000000000000000 2of4
40001.00ns INFO [00005000] ...tick...
40001.00ns INFO [00005000] RELD tag=09 00000000000000000000000000000000 3of4
40009.00ns INFO [00005001] RELD tag=09 00000000000000000000000000000000 4of4
40025.00ns INFO [00005003] T0 STORE 0001FF40 tag=00 len=4 be=F0000000 data=0000000800000000000000000000000000000000000000000000000000000000 WIMG:0
40025.00ns INFO [00005003] Mem Update: @0001FF40 XXXXXXXX->00000008
40033.00ns INFO [00005004] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
40089.00ns INFO [00005011] T0 IFETCH 00000D40 tag=08 len=16 WIMG:0 reld data:5017
40113.00ns INFO [00005014] T0 IFETCH 00000D80 tag=09 len=16 WIMG:0 reld data:5021
40137.00ns INFO [00005017] C0: CP 0:003B60 1:003B64 0000000000003B60
40137.00ns INFO [00005017] RELD tag=08 91210034812100343C80000060840009 1of4 crit
40145.00ns INFO [00005018] C0: CP 0:003B68 1:003B6C 0000000000003B68
40145.00ns INFO [00005018] GPR Update: R03=0000000000000008
40145.00ns INFO [00005018] GPR Update: R09=0000000000000008
40145.00ns INFO [00005018] RELD tag=08 7C934BA6600000003920000091210030 2of4
40153.00ns INFO [00005019] C0: CP 0:003B70 1:003B74 0000000000003B70
40153.00ns INFO [00005019] GPR Update: R00=0000000000000D3C
40153.00ns INFO [00005019] LR Update:0000000000000D3C
40153.00ns INFO [00005019] RELD tag=08 812100303C800000608400097C9603A6 3of4
40161.00ns INFO [00005020] GPR Update: R01=000000000001FFB8
40161.00ns INFO [00005020] RELD tag=08 60000000392000009121002C8121002C 4of4
40169.00ns INFO [00005021] RELD tag=09 3C800000608400097C9D43A660000000 1of4 crit
40177.00ns INFO [00005022] RELD tag=09 3920000091210028812100283C800000 2of4
40185.00ns INFO [00005023] RELD tag=09 608400097C9C43A6600000003D20FE00 3of4
40193.00ns INFO [00005024] RELD tag=09 91210024812100243C80000060840009 4of4
40265.00ns INFO [00005033] C0: CP 0:000D3C 0000000000000D3C
40273.00ns INFO [00005034] GPR Update: R09=0000000003000000
40297.00ns INFO [00005037] C0: CP 0:000D40 0000000000000D40
40337.00ns INFO [00005042] T0 STORE 0001FFEC tag=00 len=4 be=000F0000 data=9121002481210024000000000300000000000000000000000000000000000000 WIMG:0
40337.00ns INFO [00005042] Mem Update: @0001FFEC XXXXXXXX->03000000
40345.00ns INFO [00005043] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 00000000 ..à(.. .... ....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
40353.00ns INFO [00005044] T0 IFETCH 00000DC0 tag=08 len=16 WIMG:0 reld data:5050
40401.00ns INFO [00005050] RELD tag=08 7C9053A6600000007D36FAA691210020 1of4 crit
40409.00ns INFO [00005051] RELD tag=08 81210020552905AC9121001C8121001C 2of4
40417.00ns INFO [00005052] RELD tag=08 3C800000608400097C96FBA660000000 3of4
40425.00ns INFO [00005053] RELD tag=08 3920000091210018812100183C800000 4of4
40441.00ns INFO [00005055] C0: CP 0:000D44 1:000D48 0000000000000D44
40449.00ns INFO [00005056] C0: CP 0:000D4C 0000000000000D4C
40449.00ns INFO [00005056] GPR Update: R04=0000000000000000
40449.00ns INFO [00005056] GPR Update: R09=0000000003000000
40457.00ns INFO [00005057] GPR Update: R04=0000000000000009
40561.00ns INFO [00005070] C0: CP 0:000D50 0000000000000D50
40713.00ns INFO [00005089] C0: CP 0:000D54 1:000D58 0000000000000D54
40721.00ns INFO [00005090] GPR Update: R09=0000000000000000
40745.00ns INFO [00005093] C0: CP 0:000D5C 0000000000000D5C
40801.00ns INFO [00005100] ...tick...
40801.00ns INFO [00005100] T0 STORE 0001FFE8 tag=00 len=4 be=00F00000 data=9121001800000000000000003920000000000000000000000000000000000000 WIMG:0
40801.00ns INFO [00005100] Mem Update: @0001FFE8 XXXXXXXX->00000000
40897.00ns INFO [00005112] C0: CP 0:000D60 1:000D64 0000000000000D60
40905.00ns INFO [00005113] C0: CP 0:000D68 0000000000000D68
40905.00ns INFO [00005113] GPR Update: R04=0000000000000000
40905.00ns INFO [00005113] GPR Update: R09=0000000000000000
40913.00ns INFO [00005114] GPR Update: R04=0000000000000009
41017.00ns INFO [00005127] C0: CP 0:000D6C 1:000D70 0000000000000D6C
41025.00ns INFO [00005128] C0: CP 0:000D74 1:000D78 0000000000000D74
41033.00ns INFO [00005129] GPR Update: R09=0000000000000000
41065.00ns INFO [00005133] T0 STORE 0001FFE4 tag=00 len=4 be=0F000000 data=0000000000000000392000009121001800000000000000000000000000000000 WIMG:0
41065.00ns INFO [00005133] Mem Update: @0001FFE4 XXXXXXXX->00000000
41161.00ns INFO [00005145] C0: CP 0:000D7C 1:000D80 0000000000000D7C
41169.00ns INFO [00005146] C0: CP 0:000D84 0000000000000D84
41169.00ns INFO [00005146] GPR Update: R04=0000000000000000
41169.00ns INFO [00005146] GPR Update: R09=0000000000000000
41177.00ns INFO [00005147] GPR Update: R04=0000000000000009
41281.00ns INFO [00005160] C0: CP 0:000D88 1:000D8C 0000000000000D88
41289.00ns INFO [00005161] C0: CP 0:000D90 1:000D94 0000000000000D90
41297.00ns INFO [00005162] GPR Update: R09=0000000000000000
41329.00ns INFO [00005166] T0 STORE 0001FFE0 tag=00 len=4 be=F0000000 data=0000000039200000912100180000000000000000000000000000000000000000 WIMG:0
41329.00ns INFO [00005166] Mem Update: @0001FFE0 XXXXXXXX->00000000
41425.00ns INFO [00005178] C0: CP 0:000D98 1:000D9C 0000000000000D98
41433.00ns INFO [00005179] C0: CP 0:000DA0 0000000000000DA0
41433.00ns INFO [00005179] GPR Update: R04=0000000000000000
41433.00ns INFO [00005179] GPR Update: R09=0000000000000000
41441.00ns INFO [00005180] GPR Update: R04=0000000000000009
41545.00ns INFO [00005193] C0: CP 0:000DA4 1:000DA8 0000000000000DA4
41553.00ns INFO [00005194] C0: CP 0:000DAC 1:000DB0 0000000000000DAC
41561.00ns INFO [00005195] GPR Update: R09=FFFFFFFFFE000000
41593.00ns INFO [00005199] T0 STORE 0001FFDC tag=00 len=4 be=000F0000 data=3920000091210018FFFFFFFFFE00000000000000000000000000000000000000 WIMG:0
41593.00ns INFO [00005199] Mem Update: @0001FFDC XXXXXXXX->FE000000
41601.00ns INFO [00005200] ...tick...
41601.00ns INFO [00005200] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 00000000 FE000000 ..à(.. .... ................þ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
41689.00ns INFO [00005211] C0: CP 0:000DB4 1:000DB8 0000000000000DB4
41697.00ns INFO [00005212] C0: CP 0:000DBC 0000000000000DBC
41697.00ns INFO [00005212] GPR Update: R04=0000000000000000
41697.00ns INFO [00005212] GPR Update: R09=00000000FE000000
41705.00ns INFO [00005213] GPR Update: R04=0000000000000009
41809.00ns INFO [00005226] C0: CP 0:000DC0 1:000DC4 0000000000000DC0
41905.00ns INFO [00005238] C0: CP 0:000DC8 0000000000000DC8
41913.00ns INFO [00005239] GPR Update: R09=00000000000008C0
41969.00ns INFO [00005246] C0: CP 0:000DCC 0000000000000DCC
42009.00ns INFO [00005251] T0 STORE 0001FFD8 tag=00 len=4 be=00F00000 data=9121001800000000000008C03920000000000000000000000000000000000000 WIMG:0
42009.00ns INFO [00005251] Mem Update: @0001FFD8 XXXXXXXX->000008C0
42017.00ns INFO [00005252] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ..........................ÿ¨....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
42113.00ns INFO [00005264] C0: CP 0:000DD0 1:000DD4 0000000000000DD0
42121.00ns INFO [00005265] GPR Update: R09=0000000000000000
42145.00ns INFO [00005268] C0: CP 0:000DD8 0000000000000DD8
42185.00ns INFO [00005273] T0 STORE 0001FFD4 tag=00 len=4 be=0F000000 data=0000000000000000392000009121001800000000000000000000000000000000 WIMG:0
42185.00ns INFO [00005273] Mem Update: @0001FFD4 XXXXXXXX->00000000
42289.00ns INFO [00005286] C0: CP 0:000DDC 1:000DE0 0000000000000DDC
42297.00ns INFO [00005287] GPR Update: R04=0000000000000000
42297.00ns INFO [00005287] GPR Update: R09=0000000000000000
42385.00ns INFO [00005298] C0: CP 0:000DE4 0000000000000DE4
42393.00ns INFO [00005299] GPR Update: R04=0000000000000009
42401.00ns INFO [00005300] ...tick...
42401.00ns INFO [00005300] T0 IFETCH 000011C0 tag=08 len=16 WIMG:0 reld data:5306
42425.00ns INFO [00005303] T0 IFETCH 00001200 tag=09 len=16 WIMG:0 reld data:5310
42449.00ns INFO [00005306] RELD tag=08 9023009C802300A07C2903A6382300A4 1of4 crit
42457.00ns INFO [00005307] RELD tag=08 384300D88081000080A2000090850000 2of4
42465.00ns INFO [00005308] RELD tag=08 38210004384200044200FFEC3C804800 3of4
42473.00ns INFO [00005309] RELD tag=08 6084000690850000802011347C3B03A6 4of4
42481.00ns INFO [00005310] RELD tag=09 802011787C3A03A6802300807C2FF120 1of4 crit
42489.00ns INFO [00005311] RELD tag=09 802300847C2103A6802300887C2903A6 2of4
42497.00ns INFO [00005312] RELD tag=09 8023008C7C2803A6802300907C2FCBA6 3of4
42505.00ns INFO [00005313] RELD tag=09 80030000802300048043000880830010 4of4
42545.00ns INFO [00005318] C0: CP 0:000DE8 0000000000000DE8
42697.00ns INFO [00005337] C0: CP 0:000DEC 0000000000000DEC
42705.00ns INFO [00005338] C0: CP 0:000DF0 0000000000000DF0
42713.00ns INFO [00005339] GPR Update: R09=0000000000000000
42729.00ns INFO [00005341] C0: CP 0:000DF4 0000000000000DF4
42785.00ns INFO [00005348] T0 STORE 0001FFD0 tag=00 len=4 be=F0000000 data=0000000080030000802300040000000000000000000000000000000000000000 WIMG:0
42785.00ns INFO [00005348] Mem Update: @0001FFD0 XXXXXXXX->00000000
42881.00ns INFO [00005360] C0: CP 0:000DF8 1:000DFC 0000000000000DF8
42889.00ns INFO [00005361] C0: CP 0:000E00 0000000000000E00
42889.00ns INFO [00005361] GPR Update: R04=0000000000000000
42889.00ns INFO [00005361] GPR Update: R09=0000000000000000
42897.00ns INFO [00005362] GPR Update: R04=0000000000000009
42977.00ns INFO [00005372] T0 LOAD 00001140 tag=00 len=4 WIMG:0 reld data:5378
43001.00ns INFO [00005375] C0: CP 0:000E04 1:000E08 0000000000000E04
43009.00ns INFO [00005376] C0: CP 0:000E0C 1:000E10 0000000000000E0C
43017.00ns INFO [00005377] GPR Update: R09=0000000000000000
43025.00ns INFO [00005378] RELD tag=00 0000000D7C61CC147D2305957AC37392 1of4 crit
43033.00ns INFO [00005379] RELD tag=00 7E094C117E1CB1157A3388867C6004D1 2of4
43041.00ns INFO [00005380] RELD tag=00 7E09B0387C3605917E2B00D160000000 3of4
43049.00ns INFO [00005381] T0 STORE 0001FFCC tag=00 len=4 be=000F0000 data=8003000080230004000000000000000000000000000000000000000000000000 WIMG:0
43049.00ns INFO [00005381] Mem Update: @0001FFCC XXXXXXXX->00000000
43049.00ns INFO [00005381] RELD tag=00 60000000600000000001000000010004 4of4
43145.00ns INFO [00005393] C0: CP 0:000E14 1:000E18 0000000000000E14
43153.00ns INFO [00005394] C0: CP 0:000E1C 0000000000000E1C
43153.00ns INFO [00005394] GPR Update: R04=0000000000000000
43153.00ns INFO [00005394] GPR Update: R09=0000000000000000
43161.00ns INFO [00005395] GPR Update: R04=0000000000000009
43201.00ns INFO [00005400] ...tick...
43265.00ns INFO [00005408] C0: CP 0:000E20 1:000E24 0000000000000E20
43273.00ns INFO [00005409] C0: CP 0:000E28 1:000E2C 0000000000000E28
43281.00ns INFO [00005410] C0: CP 0:000E30 1:0011C0 0000000000000E30
43281.00ns INFO [00005410] GPR Update: R03=00000000000010A0
43281.00ns INFO [00005410] GPR Update: R09=00000000000010A0
43289.00ns INFO [00005411] C0: CP 0:0011C4 1:0011C8 00000000000011C4
43297.00ns INFO [00005412] C0: CP 0:0011CC 1:0011D0 00000000000011CC
43297.00ns INFO [00005412] GPR Update: R01=000000000000000D
43297.00ns INFO [00005412] CTR Update:000000000000000D
43305.00ns INFO [00005413] C0: CP 0:0011D4 1:0011D8 00000000000011D4
43305.00ns INFO [00005413] GPR Update: R01=0000000000001144
43305.00ns INFO [00005413] GPR Update: R02=0000000000001178
43313.00ns INFO [00005414] C0: CP 0:0011DC 1:0011E0 00000000000011DC
43313.00ns INFO [00005414] GPR Update: R04=000000007C61CC14
43313.00ns INFO [00005414] GPR Update: R05=0000000000010000
43321.00ns INFO [00005415] C0: CP 0:0011E4 00000000000011E4
43321.00ns INFO [00005415] GPR Update: R01=0000000000001148
43321.00ns INFO [00005415] T0 STORE 0000113C tag=00 len=4 be=000F0000 data=6000000060000000000000000001FFB800000000000000000000000000000000 WIMG:0
43321.00ns INFO [00005415] Mem Update: @0000113C 00000000->0001FFB8
43329.00ns INFO [00005416] GPR Update: R02=000000000000117C
43345.00ns INFO [00005418] T0 IFETCH 00001240 tag=08 len=16 WIMG:0 reld data:5424
43353.00ns INFO [00005419] C0: CP 0:0011E8 00000000000011E8
43361.00ns INFO [00005420] CTR Update:000000000000000C
43369.00ns INFO [00005421] T0 STORE 00010000 tag=00 len=4 be=F0000000 data=7C61CC1460000000600000000000000000000000000000000000000000000000 WIMG:0
43369.00ns INFO [00005421] Mem Update: @00010000 XXXXXXXX->7C61CC14
43393.00ns INFO [00005424] RELD tag=08 80A3001480C3001880E3001C81030020 1of4 crit
43401.00ns INFO [00005425] RELD tag=08 81230024814300288163002C81830030 2of4
43409.00ns INFO [00005426] RELD tag=08 81A3003481C3003881E3003C82030040 3of4
43417.00ns INFO [00005427] RELD tag=08 82230044824300488263004C82830050 4of4
43497.00ns INFO [00005437] T0 LOAD 00001134 tag=00 len=4 WIMG:0 reld data:5443
43505.00ns INFO [00005438] C0: CP 0:0011D4 00000000000011D4
43513.00ns INFO [00005439] C0: CP 0:0011D8 00000000000011D8
43513.00ns INFO [00005439] GPR Update: R04=000000007D230595
43521.00ns INFO [00005440] GPR Update: R05=0000000000010004
43537.00ns INFO [00005442] C0: CP 0:0011DC 1:0011E0 00000000000011DC
43545.00ns INFO [00005443] C0: CP 0:0011E4 1:0011E8 00000000000011E4
43545.00ns INFO [00005443] GPR Update: R01=000000000000114C
43545.00ns INFO [00005443] RELD tag=00 FFFFFFFFAF224C19FFFFFFFFFFFFFFFF 1of4
43553.00ns INFO [00005444] GPR Update: R02=0000000000001180
43553.00ns INFO [00005444] CTR Update:000000000000000B
43553.00ns INFO [00005444] RELD tag=00 D624B27AFFFFFFFFFFFFFFFFFFFFFFFF 2of4
43561.00ns INFO [00005445] RELD tag=00 DBFD362889F0006EFFFFFFFFFFFFFFFF 3of4
43569.00ns INFO [00005446] RELD tag=00 FFFFFFFF00001104000100000001FFB8 4of4 crit
43577.00ns INFO [00005447] T0 STORE 00010004 tag=00 len=4 be=0F000000 data=000000007D230595822300448243004800000000000000000000000000000000 WIMG:0
43577.00ns INFO [00005447] Mem Update: @00010004 XXXXXXXX->7D230595
43657.00ns INFO [00005457] T0 LOAD 00001180 tag=00 len=4 WIMG:0 reld data:5463
43689.00ns INFO [00005461] C0: CP 0:0011D4 00000000000011D4
43697.00ns INFO [00005462] GPR Update: R04=000000007AC37392
43705.00ns INFO [00005463] RELD tag=00 000100080001000C0001001000010014 1of4 crit
43713.00ns INFO [00005464] RELD tag=00 000100180001001C0001002000010024 2of4
43721.00ns INFO [00005465] RELD tag=00 000100280001002C0001003048000014 3of4
43729.00ns INFO [00005466] RELD tag=00 60000000600000006000000060000000 4of4
43769.00ns INFO [00005471] C0: CP 0:0011D8 00000000000011D8
43777.00ns INFO [00005472] GPR Update: R05=0000000000010008
43809.00ns INFO [00005476] C0: CP 0:0011DC 1:0011E0 00000000000011DC
43817.00ns INFO [00005477] C0: CP 0:0011E4 1:0011E8 00000000000011E4
43817.00ns INFO [00005477] GPR Update: R01=0000000000001150
43825.00ns INFO [00005478] GPR Update: R02=0000000000001184
43825.00ns INFO [00005478] CTR Update:000000000000000A
43849.00ns INFO [00005481] T0 STORE 00010008 tag=00 len=4 be=00F00000 data=60000000000000007AC373926000000000000000000000000000000000000000 WIMG:0
43849.00ns INFO [00005481] Mem Update: @00010008 XXXXXXXX->7AC37392
43961.00ns INFO [00005495] C0: CP 0:0011D4 00000000000011D4
43969.00ns INFO [00005496] C0: CP 0:0011D8 00000000000011D8
43969.00ns INFO [00005496] GPR Update: R04=000000007E094C11
43977.00ns INFO [00005497] GPR Update: R05=000000000001000C
43993.00ns INFO [00005499] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44001.00ns INFO [00005500] ...tick...
44001.00ns INFO [00005500] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44001.00ns INFO [00005500] GPR Update: R01=0000000000001154
44009.00ns INFO [00005501] GPR Update: R02=0000000000001188
44009.00ns INFO [00005501] CTR Update:0000000000000009
44033.00ns INFO [00005504] T0 STORE 0001000C tag=00 len=4 be=000F0000 data=6000000060000000000000007E094C1100000000000000000000000000000000 WIMG:0
44033.00ns INFO [00005504] Mem Update: @0001000C XXXXXXXX->7E094C11
44145.00ns INFO [00005518] C0: CP 0:0011D4 00000000000011D4
44153.00ns INFO [00005519] C0: CP 0:0011D8 00000000000011D8
44153.00ns INFO [00005519] GPR Update: R04=000000007E1CB115
44161.00ns INFO [00005520] GPR Update: R05=0000000000010010
44177.00ns INFO [00005522] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44185.00ns INFO [00005523] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44185.00ns INFO [00005523] GPR Update: R01=0000000000001158
44193.00ns INFO [00005524] GPR Update: R02=000000000000118C
44193.00ns INFO [00005524] CTR Update:0000000000000008
44217.00ns INFO [00005527] T0 STORE 00010010 tag=00 len=4 be=F0000000 data=7E1CB11560000000600000000000000000000000000000000000000000000000 WIMG:0
44217.00ns INFO [00005527] Mem Update: @00010010 XXXXXXXX->7E1CB115
44329.00ns INFO [00005541] C0: CP 0:0011D4 00000000000011D4
44337.00ns INFO [00005542] C0: CP 0:0011D8 00000000000011D8
44337.00ns INFO [00005542] GPR Update: R04=000000007A338886
44345.00ns INFO [00005543] GPR Update: R05=0000000000010014
44361.00ns INFO [00005545] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44369.00ns INFO [00005546] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44369.00ns INFO [00005546] GPR Update: R01=000000000000115C
44377.00ns INFO [00005547] GPR Update: R02=0000000000001190
44377.00ns INFO [00005547] CTR Update:0000000000000007
44401.00ns INFO [00005550] T0 STORE 00010014 tag=00 len=4 be=0F000000 data=000000007A338886600000006000000000000000000000000000000000000000 WIMG:0
44401.00ns INFO [00005550] Mem Update: @00010014 XXXXXXXX->7A338886
44513.00ns INFO [00005564] C0: CP 0:0011D4 00000000000011D4
44521.00ns INFO [00005565] C0: CP 0:0011D8 00000000000011D8
44521.00ns INFO [00005565] GPR Update: R04=000000007C6004D1
44529.00ns INFO [00005566] GPR Update: R05=0000000000010018
44545.00ns INFO [00005568] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44553.00ns INFO [00005569] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44553.00ns INFO [00005569] GPR Update: R01=0000000000001160
44561.00ns INFO [00005570] GPR Update: R02=0000000000001194
44561.00ns INFO [00005570] CTR Update:0000000000000006
44585.00ns INFO [00005573] T0 STORE 00010018 tag=00 len=4 be=00F00000 data=60000000000000007C6004D16000000000000000000000000000000000000000 WIMG:0
44585.00ns INFO [00005573] Mem Update: @00010018 XXXXXXXX->7C6004D1
44697.00ns INFO [00005587] C0: CP 0:0011D4 00000000000011D4
44705.00ns INFO [00005588] C0: CP 0:0011D8 00000000000011D8
44705.00ns INFO [00005588] GPR Update: R04=000000007E09B038
44713.00ns INFO [00005589] GPR Update: R05=000000000001001C
44729.00ns INFO [00005591] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44737.00ns INFO [00005592] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44737.00ns INFO [00005592] GPR Update: R01=0000000000001164
44745.00ns INFO [00005593] GPR Update: R02=0000000000001198
44745.00ns INFO [00005593] CTR Update:0000000000000005
44769.00ns INFO [00005596] T0 STORE 0001001C tag=00 len=4 be=000F0000 data=6000000060000000000000007E09B03800000000000000000000000000000000 WIMG:0
44769.00ns INFO [00005596] Mem Update: @0001001C XXXXXXXX->7E09B038
44801.00ns INFO [00005600] ...tick...
44881.00ns INFO [00005610] C0: CP 0:0011D4 00000000000011D4
44889.00ns INFO [00005611] C0: CP 0:0011D8 00000000000011D8
44889.00ns INFO [00005611] GPR Update: R04=000000007C360591
44897.00ns INFO [00005612] GPR Update: R05=0000000000010020
44913.00ns INFO [00005614] C0: CP 0:0011DC 1:0011E0 00000000000011DC
44921.00ns INFO [00005615] C0: CP 0:0011E4 1:0011E8 00000000000011E4
44921.00ns INFO [00005615] GPR Update: R01=0000000000001168
44929.00ns INFO [00005616] GPR Update: R02=000000000000119C
44929.00ns INFO [00005616] CTR Update:0000000000000004
44953.00ns INFO [00005619] T0 STORE 00010020 tag=00 len=4 be=F0000000 data=7C36059160000000600000000000000000000000000000000000000000000000 WIMG:0
44953.00ns INFO [00005619] Mem Update: @00010020 XXXXXXXX->7C360591
45065.00ns INFO [00005633] C0: CP 0:0011D4 00000000000011D4
45073.00ns INFO [00005634] C0: CP 0:0011D8 00000000000011D8
45073.00ns INFO [00005634] GPR Update: R04=000000007E2B00D1
45081.00ns INFO [00005635] GPR Update: R05=0000000000010024
45097.00ns INFO [00005637] C0: CP 0:0011DC 1:0011E0 00000000000011DC
45105.00ns INFO [00005638] C0: CP 0:0011E4 1:0011E8 00000000000011E4
45105.00ns INFO [00005638] GPR Update: R01=000000000000116C
45113.00ns INFO [00005639] GPR Update: R02=00000000000011A0
45113.00ns INFO [00005639] CTR Update:0000000000000003
45137.00ns INFO [00005642] T0 STORE 00010024 tag=00 len=4 be=0F000000 data=000000007E2B00D1600000006000000000000000000000000000000000000000 WIMG:0
45137.00ns INFO [00005642] Mem Update: @00010024 XXXXXXXX->7E2B00D1
45249.00ns INFO [00005656] C0: CP 0:0011D4 00000000000011D4
45257.00ns INFO [00005657] C0: CP 0:0011D8 00000000000011D8
45257.00ns INFO [00005657] GPR Update: R04=0000000060000000
45265.00ns INFO [00005658] GPR Update: R05=0000000000010028
45281.00ns INFO [00005660] C0: CP 0:0011DC 1:0011E0 00000000000011DC
45289.00ns INFO [00005661] C0: CP 0:0011E4 1:0011E8 00000000000011E4
45289.00ns INFO [00005661] GPR Update: R01=0000000000001170
45297.00ns INFO [00005662] GPR Update: R02=00000000000011A4
45297.00ns INFO [00005662] CTR Update:0000000000000002
45321.00ns INFO [00005665] T0 STORE 00010028 tag=00 len=4 be=00F00000 data=6000000000000000600000006000000000000000000000000000000000000000 WIMG:0
45321.00ns INFO [00005665] Mem Update: @00010028 XXXXXXXX->60000000
45433.00ns INFO [00005679] C0: CP 0:0011D4 00000000000011D4
45441.00ns INFO [00005680] C0: CP 0:0011D8 00000000000011D8
45441.00ns INFO [00005680] GPR Update: R04=0000000060000000
45449.00ns INFO [00005681] GPR Update: R05=000000000001002C
45465.00ns INFO [00005683] C0: CP 0:0011DC 1:0011E0 00000000000011DC
45473.00ns INFO [00005684] C0: CP 0:0011E4 1:0011E8 00000000000011E4
45473.00ns INFO [00005684] GPR Update: R01=0000000000001174
45481.00ns INFO [00005685] GPR Update: R02=00000000000011A8
45481.00ns INFO [00005685] CTR Update:0000000000000001
45505.00ns INFO [00005688] T0 STORE 0001002C tag=00 len=4 be=000F0000 data=6000000060000000000000006000000000000000000000000000000000000000 WIMG:0
45505.00ns INFO [00005688] Mem Update: @0001002C XXXXXXXX->60000000
45601.00ns INFO [00005700] ...tick...
45617.00ns INFO [00005702] C0: CP 0:0011D4 00000000000011D4
45625.00ns INFO [00005703] C0: CP 0:0011D8 00000000000011D8
45625.00ns INFO [00005703] GPR Update: R04=0000000060000000
45633.00ns INFO [00005704] GPR Update: R05=0000000000010030
45649.00ns INFO [00005706] C0: CP 0:0011DC 1:0011E0 00000000000011DC
45657.00ns INFO [00005707] C0: CP 0:0011E4 1:0011E8 00000000000011E4
45657.00ns INFO [00005707] GPR Update: R01=0000000000001178
45665.00ns INFO [00005708] C0: CP 0:0011EC 1:0011F0 00000000000011EC
45665.00ns INFO [00005708] GPR Update: R02=00000000000011AC
45665.00ns INFO [00005708] CTR Update:0000000000000000
45673.00ns INFO [00005709] C0: CP 0:0011F4 1:0011F8 00000000000011F4
45673.00ns INFO [00005709] GPR Update: R04=0000000048000006
45681.00ns INFO [00005710] GPR Update: R01=0000000000001104
45689.00ns INFO [00005711] T0 STORE 00010030 tag=00 len=4 be=F0000000 data=6000000060000000600000000000000000000000000000000000000000000000 WIMG:0
45689.00ns INFO [00005711] Mem Update: @00010030 XXXXXXXX->60000000
45713.00ns INFO [00005714] T0 STORE 00010030 tag=01 len=4 be=F0000000 data=4800000660000000600000000000000000000000000000000000000000000000 WIMG:0
45713.00ns INFO [00005714] Mem Update: @00010030 60000000->48000006
45793.00ns INFO [00005724] C0: CP 0:0011FC 1:001200 00000000000011FC
45801.00ns INFO [00005725] GPR Update: R01=0000000000010000
45905.00ns INFO [00005738] C0: CP 0:001204 1:001208 0000000000001204
45913.00ns INFO [00005739] C0: CP 0:00120C 1:000000 000000000000120C
45913.00ns INFO [00005739] GPR Update: R01=00000000DBFD3628
45921.00ns INFO [00005740] C0: CP 0:000000 1:000000 000000000000120C
45921.00ns INFO [00005740] CR Update: F0=D
45929.00ns INFO [00005741] C0: CP 0:000000 1:000000 000000000000120C
45929.00ns INFO [00005741] CR Update: F1=B
45929.00ns INFO [00005741] CR Update: F2=F
45937.00ns INFO [00005742] C0: CP 0:000000 1:000000 000000000000120C
45937.00ns INFO [00005742] CR Update: F3=D
45937.00ns INFO [00005742] CR Update: F4=3
45945.00ns INFO [00005743] C0: CP 0:000000 1:001210 000000000000120C
45945.00ns INFO [00005743] CR Update: F5=6
45945.00ns INFO [00005743] CR Update: F6=2
45953.00ns INFO [00005744] C0: CP 0:001214 1:001218 0000000000001214
45953.00ns INFO [00005744] GPR Update: R01=0000000089F0006E
45953.00ns INFO [00005744] CR Update: F7=8
45961.00ns INFO [00005745] C0: CP 0:00121C 000000000000121C
45961.00ns INFO [00005745] GPR Update: R01=00000000FFFFFFFF
45961.00ns INFO [00005745] XER Update: SO/OV/CA=100 LEN=6E
45969.00ns INFO [00005746] CTR Update:00000000FFFFFFFF
45993.00ns INFO [00005749] T0 IFETCH 00001280 tag=08 len=16 WIMG:0 reld data:5755
46009.00ns INFO [00005751] T0 LOAD 000010A0 tag=00 len=4 WIMG:0 reld data:5759
46017.00ns INFO [00005752] T0 IFETCH 000012C0 tag=09 len=16 WIMG:0 reld data:5763
46033.00ns INFO [00005754] C0: CP 0:001220 0000000000001220
46041.00ns INFO [00005755] C0: CP 0:001224 1:001228 0000000000001224
46041.00ns INFO [00005755] GPR Update: R01=00000000FFFFFFFF
46041.00ns INFO [00005755] RELD tag=08 82A3005482C3005882E3005C83030060 1of4 crit
46049.00ns INFO [00005756] C0: CP 0:00122C 000000000000122C
46049.00ns INFO [00005756] GPR Update: R01=00000000FFFFFFFF
46049.00ns INFO [00005756] LR Update:00000000FFFFFFFF
46049.00ns INFO [00005756] RELD tag=08 83230064834300688363006C83830070 2of4
46057.00ns INFO [00005757] RELD tag=08 83A3007483C3007883E3007C8063000C 3of4
46065.00ns INFO [00005758] T0 LOAD 000010C0 tag=01 len=4 WIMG:0 reld data:5767
46065.00ns INFO [00005758] RELD tag=08 4C0000644800000C6000000060000000 4of4
46073.00ns INFO [00005759] RELD tag=00 696E666F207465787400686561646572 1of4
46081.00ns INFO [00005760] RELD tag=00 20746578740000000000000000000000 2of4
46089.00ns INFO [00005761] RELD tag=00 000000005822C905FFFFFFFF91B6D1A3 3of4 crit
46097.00ns INFO [00005762] RELD tag=00 FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF 4of4
46105.00ns INFO [00005763] RELD tag=09 7C2FCBA63C200000602113A090010000 1of4 crit
46113.00ns INFO [00005764] RELD tag=09 904100089061000C9081001090A10014 2of4
46121.00ns INFO [00005765] RELD tag=09 90C1001890E1001C9101002091210024 3of4
46129.00ns INFO [00005766] RELD tag=09 914100289161002C9181003091A10034 4of4
46137.00ns INFO [00005767] RELD tag=01 FFFFFFFF7E11EE88FFFFFFFF7FFFFFFF 1of4 crit
46145.00ns INFO [00005768] RELD tag=01 FFFFFFFFFFFFFFFF8C20BDE6FFFFFFFF 2of4
46153.00ns INFO [00005769] C0: CP 0:001230 0000000000001230
46153.00ns INFO [00005769] RELD tag=01 76D0DADF15111F42FFFFFFFF36108E50 3of4
46161.00ns INFO [00005770] GPR Update: R00=0000000000000000
46161.00ns INFO [00005770] RELD tag=01 FFFFFFFFFFFFFFFF328A0CEDFFFFFFFF 4of4
46233.00ns INFO [00005779] C0: CP 0:001234 0000000000001234
46241.00ns INFO [00005780] C0: CP 0:001238 0000000000001238
46241.00ns INFO [00005780] GPR Update: R01=000000005822C905
46249.00ns INFO [00005781] C0: CP 0:00123C 000000000000123C
46249.00ns INFO [00005781] GPR Update: R02=00000000FFFFFFFF
46257.00ns INFO [00005782] GPR Update: R04=00000000FFFFFFFF
46281.00ns INFO [00005785] C0: CP 0:001240 0000000000001240
46289.00ns INFO [00005786] C0: CP 0:001244 0000000000001244
46289.00ns INFO [00005786] GPR Update: R05=00000000FFFFFFFF
46297.00ns INFO [00005787] C0: CP 0:001248 0000000000001248
46297.00ns INFO [00005787] GPR Update: R06=00000000FFFFFFFF
46305.00ns INFO [00005788] C0: CP 0:00124C 000000000000124C
46305.00ns INFO [00005788] GPR Update: R07=00000000FFFFFFFF
46313.00ns INFO [00005789] C0: CP 0:001250 0000000000001250
46313.00ns INFO [00005789] GPR Update: R08=00000000FFFFFFFF
46321.00ns INFO [00005790] C0: CP 0:001254 0000000000001254
46321.00ns INFO [00005790] GPR Update: R09=000000007E11EE88
46329.00ns INFO [00005791] C0: CP 0:001258 0000000000001258
46329.00ns INFO [00005791] GPR Update: R10=00000000FFFFFFFF
46337.00ns INFO [00005792] C0: CP 0:00125C 000000000000125C
46337.00ns INFO [00005792] GPR Update: R11=000000007FFFFFFF
46345.00ns INFO [00005793] C0: CP 0:001260 0000000000001260
46345.00ns INFO [00005793] GPR Update: R12=00000000FFFFFFFF
46353.00ns INFO [00005794] C0: CP 0:001264 0000000000001264
46353.00ns INFO [00005794] GPR Update: R13=00000000FFFFFFFF
46361.00ns INFO [00005795] C0: CP 0:001268 0000000000001268
46361.00ns INFO [00005795] GPR Update: R14=000000008C20BDE6
46369.00ns INFO [00005796] C0: CP 0:00126C 000000000000126C
46369.00ns INFO [00005796] GPR Update: R15=00000000FFFFFFFF
46377.00ns INFO [00005797] C0: CP 0:001270 0000000000001270
46377.00ns INFO [00005797] GPR Update: R16=0000000076D0DADF
46385.00ns INFO [00005798] C0: CP 0:001274 0000000000001274
46385.00ns INFO [00005798] GPR Update: R17=0000000015111F42
46393.00ns INFO [00005799] C0: CP 0:001278 0000000000001278
46393.00ns INFO [00005799] GPR Update: R18=00000000FFFFFFFF
46401.00ns INFO [00005800] ...tick...
46401.00ns INFO [00005800] C0: CP 0:00127C 000000000000127C
46401.00ns INFO [00005800] GPR Update: R19=0000000036108E50
46409.00ns INFO [00005801] GPR Update: R20=00000000FFFFFFFF
46417.00ns INFO [00005802] C0: CP 0:001280 0000000000001280
46425.00ns INFO [00005803] C0: CP 0:001284 0000000000001284
46425.00ns INFO [00005803] GPR Update: R21=00000000FFFFFFFF
46433.00ns INFO [00005804] C0: CP 0:001288 0000000000001288
46433.00ns INFO [00005804] GPR Update: R22=00000000328A0CED
46441.00ns INFO [00005805] C0: CP 0:00128C 000000000000128C
46441.00ns INFO [00005805] GPR Update: R23=00000000FFFFFFFF
46441.00ns INFO [00005805] T0 IFETCH 00001300 tag=08 len=16 WIMG:0 reld data:5811
46449.00ns INFO [00005806] C0: CP 0:001290 0000000000001290
46449.00ns INFO [00005806] GPR Update: R24=00000000FFFFFFFF
46457.00ns INFO [00005807] C0: CP 0:001294 0000000000001294
46457.00ns INFO [00005807] GPR Update: R25=00000000AF224C19
46465.00ns INFO [00005808] C0: CP 0:001298 0000000000001298
46465.00ns INFO [00005808] GPR Update: R26=00000000FFFFFFFF
46465.00ns INFO [00005808] T0 IFETCH 00001340 tag=09 len=16 WIMG:0 reld data:5815
46473.00ns INFO [00005809] C0: CP 0:00129C 000000000000129C
46473.00ns INFO [00005809] GPR Update: R27=00000000FFFFFFFF
46481.00ns INFO [00005810] C0: CP 0:0012A0 00000000000012A0
46481.00ns INFO [00005810] GPR Update: R28=00000000D624B27A
46489.00ns INFO [00005811] C0: CP 0:0012A4 00000000000012A4
46489.00ns INFO [00005811] GPR Update: R29=00000000FFFFFFFF
46489.00ns INFO [00005811] RELD tag=08 91C1003891E1003C9201004092210044 1of4 crit
46497.00ns INFO [00005812] C0: CP 0:0012A8 00000000000012A8
46497.00ns INFO [00005812] GPR Update: R30=00000000FFFFFFFF
46497.00ns INFO [00005812] RELD tag=08 924100489261004C9281005092A10054 2of4
46505.00ns INFO [00005813] C0: CP 0:0012AC 1:0012B0 00000000000012AC
46505.00ns INFO [00005813] GPR Update: R31=00000000FFFFFFFF
46505.00ns INFO [00005813] RELD tag=08 92C1005892E1005C9301006093210064 3of4
46513.00ns INFO [00005814] GPR Update: R03=0000000091B6D1A3
46513.00ns INFO [00005814] RELD tag=08 934100689361006C9381007093A10074 4of4
46521.00ns INFO [00005815] RELD tag=09 93C1007893E1007C7C4FCAA690410004 1of4 crit
46529.00ns INFO [00005816] RELD tag=09 7C400026904100807C4102A690410084 2of4
46537.00ns INFO [00005817] RELD tag=09 7C4902A6904100887C4802A69041008C 3of4
46545.00ns INFO [00005818] RELD tag=09 7C4FCAA6904100903C600000606310A0 4of4
46617.00ns INFO [00005827] T0 IFETCH 00010000 tag=08 len=16 WIMG:0 reld data:5833
46641.00ns INFO [00005830] T0 IFETCH 00010040 tag=09 len=16 WIMG:0 reld data:5837
46665.00ns INFO [00005833] RELD tag=08 7C61CC147D2305957AC373927E094C11 1of4 crit
46673.00ns INFO [00005834] RELD tag=08 7E1CB1157A3388867C6004D17E09B038 2of4
46681.00ns INFO [00005835] RELD tag=08 7C3605917E2B00D16000000060000000 3of4
46689.00ns INFO [00005836] RELD tag=08 48000006000000000000000000000000 4of4
46697.00ns INFO [00005837] RELD tag=09 00000000000000000000000000000000 1of4 crit
46705.00ns INFO [00005838] RELD tag=09 00000000000000000000000000000000 2of4
46713.00ns INFO [00005839] RELD tag=09 00000000000000000000000000000000 3of4
46721.00ns INFO [00005840] RELD tag=09 00000000000000000000000000000000 4of4
46793.00ns INFO [00005849] C0: CP 0:010000 0000000000010000
46801.00ns INFO [00005850] GPR Update: R03=000000010745151E
46801.00ns INFO [00005850] XER Update: SO/OV/CA=101 LEN=6E
46929.00ns INFO [00005866] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5872
46945.00ns INFO [00005868] C0: CP 0:010004 0000000000010004
46953.00ns INFO [00005869] GPR Update: R09=000000010745151F
46953.00ns INFO [00005869] CR Update: F0=5
46953.00ns INFO [00005869] XER Update: SO/OV/CA=100 LEN=6E
46953.00ns INFO [00005869] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5876
46977.00ns INFO [00005872] RELD tag=08 48000400440000020000000000000000 1of4 crit
46985.00ns INFO [00005873] RELD tag=08 00000000000000000000000000000000 2of4
46993.00ns INFO [00005874] RELD tag=08 4800104C000000000000000000000000 3of4
47001.00ns INFO [00005875] RELD tag=08 00000000000000000000000000000000 4of4
47009.00ns INFO [00005876] RELD tag=09 48000000000000000000000000000000 1of4 crit
47017.00ns INFO [00005877] RELD tag=09 00000000000000000000000000000000 2of4
47025.00ns INFO [00005878] RELD tag=09 48000000000000000000000000000000 3of4
47033.00ns INFO [00005879] RELD tag=09 00000000000000000000000000000000 4of4
47081.00ns INFO [00005885] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5891
47097.00ns INFO [00005887] C0: CP 0:010008 0000000000010008
47105.00ns INFO [00005888] GPR Update: R03=A282000000000000
47113.00ns INFO [00005889] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5895
47129.00ns INFO [00005891] RELD tag=08 48000400440000020000000000000000 1of4 crit
47137.00ns INFO [00005892] RELD tag=08 00000000000000000000000000000000 2of4
47145.00ns INFO [00005893] RELD tag=08 4800104C000000000000000000000000 3of4
47153.00ns INFO [00005894] RELD tag=08 00000000000000000000000000000000 4of4
47161.00ns INFO [00005895] RELD tag=09 48000000000000000000000000000000 1of4 crit
47169.00ns INFO [00005896] RELD tag=09 00000000000000000000000000000000 2of4
47177.00ns INFO [00005897] RELD tag=09 48000000000000000000000000000000 3of4
47185.00ns INFO [00005898] RELD tag=09 00000000000000000000000000000000 4of4
47201.00ns INFO [00005900] ...tick...
47233.00ns INFO [00005904] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5910
47249.00ns INFO [00005906] C0: CP 0:01000C 000000000001000C
47257.00ns INFO [00005907] GPR Update: R16=0000000000000000
47257.00ns INFO [00005907] CR Update: F0=3
47257.00ns INFO [00005907] XER Update: SO/OV/CA=101 LEN=6E
47265.00ns INFO [00005908] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5914
47281.00ns INFO [00005910] RELD tag=08 48000400440000020000000000000000 1of4 crit
47289.00ns INFO [00005911] RELD tag=08 00000000000000000000000000000000 2of4
47297.00ns INFO [00005912] RELD tag=08 4800104C000000000000000000000000 3of4
47305.00ns INFO [00005913] RELD tag=08 00000000000000000000000000000000 4of4
47313.00ns INFO [00005914] RELD tag=09 48000000000000000000000000000000 1of4 crit
47321.00ns INFO [00005915] RELD tag=09 00000000000000000000000000000000 2of4
47329.00ns INFO [00005916] RELD tag=09 48000000000000000000000000000000 3of4
47337.00ns INFO [00005917] RELD tag=09 00000000000000000000000000000000 4of4
47377.00ns INFO [00005922] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5928
47401.00ns INFO [00005925] C0: CP 0:010010 0000000000010010
47409.00ns INFO [00005926] GPR Update: R16=0000000108AEBF68
47409.00ns INFO [00005926] CR Update: F0=5
47409.00ns INFO [00005926] XER Update: SO/OV/CA=101 LEN=6E
47417.00ns INFO [00005927] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5932
47425.00ns INFO [00005928] RELD tag=08 48000400440000020000000000000000 1of4 crit
47433.00ns INFO [00005929] RELD tag=08 00000000000000000000000000000000 2of4
47441.00ns INFO [00005930] RELD tag=08 4800104C000000000000000000000000 3of4
47449.00ns INFO [00005931] RELD tag=08 00000000000000000000000000000000 4of4
47457.00ns INFO [00005932] RELD tag=09 48000000000000000000000000000000 1of4 crit
47465.00ns INFO [00005933] RELD tag=09 00000000000000000000000000000000 2of4
47473.00ns INFO [00005934] RELD tag=09 48000000000000000000000000000000 3of4
47481.00ns INFO [00005935] RELD tag=09 00000000000000000000000000000000 4of4
47529.00ns INFO [00005941] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5947
47553.00ns INFO [00005944] C0: CP 0:010014 0000000000010014
47561.00ns INFO [00005945] GPR Update: R19=2000000000000000
47561.00ns INFO [00005945] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5951
47577.00ns INFO [00005947] RELD tag=08 48000400440000020000000000000000 1of4 crit
47585.00ns INFO [00005948] RELD tag=08 00000000000000000000000000000000 2of4
47593.00ns INFO [00005949] RELD tag=08 4800104C000000000000000000000000 3of4
47601.00ns INFO [00005950] RELD tag=08 00000000000000000000000000000000 4of4
47609.00ns INFO [00005951] RELD tag=09 48000000000000000000000000000000 1of4 crit
47617.00ns INFO [00005952] RELD tag=09 00000000000000000000000000000000 2of4
47625.00ns INFO [00005953] RELD tag=09 48000000000000000000000000000000 3of4
47633.00ns INFO [00005954] RELD tag=09 00000000000000000000000000000000 4of4
47681.00ns INFO [00005960] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5966
47705.00ns INFO [00005963] C0: CP 0:010018 0000000000010018
47713.00ns INFO [00005964] GPR Update: R03=0000000000000000
47713.00ns INFO [00005964] CR Update: F0=3
47713.00ns INFO [00005964] XER Update: SO/OV/CA=101 LEN=6E
47713.00ns INFO [00005964] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5970
47729.00ns INFO [00005966] RELD tag=08 48000400440000020000000000000000 1of4 crit
47737.00ns INFO [00005967] RELD tag=08 00000000000000000000000000000000 2of4
47745.00ns INFO [00005968] RELD tag=08 4800104C000000000000000000000000 3of4
47753.00ns INFO [00005969] RELD tag=08 00000000000000000000000000000000 4of4
47761.00ns INFO [00005970] RELD tag=09 48000000000000000000000000000000 1of4 crit
47769.00ns INFO [00005971] RELD tag=09 00000000000000000000000000000000 2of4
47777.00ns INFO [00005972] RELD tag=09 48000000000000000000000000000000 3of4
47785.00ns INFO [00005973] RELD tag=09 00000000000000000000000000000000 4of4
47833.00ns INFO [00005979] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:5985
47857.00ns INFO [00005982] C0: CP 0:01001C 000000000001001C
47865.00ns INFO [00005983] GPR Update: R09=00000000008A0C68
47865.00ns INFO [00005983] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:5989
47881.00ns INFO [00005985] RELD tag=08 48000400440000020000000000000000 1of4 crit
47889.00ns INFO [00005986] RELD tag=08 00000000000000000000000000000000 2of4
47897.00ns INFO [00005987] RELD tag=08 4800104C000000000000000000000000 3of4
47905.00ns INFO [00005988] RELD tag=08 00000000000000000000000000000000 4of4
47913.00ns INFO [00005989] RELD tag=09 48000000000000000000000000000000 1of4 crit
47921.00ns INFO [00005990] RELD tag=09 00000000000000000000000000000000 2of4
47929.00ns INFO [00005991] RELD tag=09 48000000000000000000000000000000 3of4
47937.00ns INFO [00005992] RELD tag=09 00000000000000000000000000000000 4of4
47977.00ns INFO [00005997] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:6003
48001.00ns INFO [00006000] ...tick...
48009.00ns INFO [00006001] C0: CP 0:010020 0000000000010020
48017.00ns INFO [00006002] GPR Update: R01=FFFFFFFFCD75F313
48017.00ns INFO [00006002] CR Update: F0=9
48017.00ns INFO [00006002] XER Update: SO/OV/CA=100 LEN=6E
48017.00ns INFO [00006002] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:6007
48025.00ns INFO [00006003] RELD tag=08 48000400440000020000000000000000 1of4 crit
48033.00ns INFO [00006004] RELD tag=08 00000000000000000000000000000000 2of4
48041.00ns INFO [00006005] RELD tag=08 4800104C000000000000000000000000 3of4
48049.00ns INFO [00006006] RELD tag=08 00000000000000000000000000000000 4of4
48057.00ns INFO [00006007] RELD tag=09 48000000000000000000000000000000 1of4 crit
48065.00ns INFO [00006008] RELD tag=09 00000000000000000000000000000000 2of4
48073.00ns INFO [00006009] RELD tag=09 48000000000000000000000000000000 3of4
48081.00ns INFO [00006010] RELD tag=09 00000000000000000000000000000000 4of4
48129.00ns INFO [00006016] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:6022
48161.00ns INFO [00006020] C0: CP 0:010024 0000000000010024
48161.00ns INFO [00006020] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:6026
48169.00ns INFO [00006021] GPR Update: R17=FFFFFFFF80000001
48169.00ns INFO [00006021] CR Update: F0=9
48177.00ns INFO [00006022] RELD tag=08 48000400440000020000000000000000 1of4 crit
48185.00ns INFO [00006023] RELD tag=08 00000000000000000000000000000000 2of4
48193.00ns INFO [00006024] RELD tag=08 4800104C000000000000000000000000 3of4
48201.00ns INFO [00006025] RELD tag=08 00000000000000000000000000000000 4of4
48209.00ns INFO [00006026] RELD tag=09 48000000000000000000000000000000 1of4 crit
48217.00ns INFO [00006027] RELD tag=09 00000000000000000000000000000000 2of4
48225.00ns INFO [00006028] RELD tag=09 48000000000000000000000000000000 3of4
48233.00ns INFO [00006029] RELD tag=09 00000000000000000000000000000000 4of4
48281.00ns INFO [00006035] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:6041
48313.00ns INFO [00006039] C0: CP 0:010028 0000000000010028
48313.00ns INFO [00006039] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:6045
48329.00ns INFO [00006041] RELD tag=08 48000400440000020000000000000000 1of4 crit
48337.00ns INFO [00006042] RELD tag=08 00000000000000000000000000000000 2of4
48345.00ns INFO [00006043] RELD tag=08 4800104C000000000000000000000000 3of4
48353.00ns INFO [00006044] RELD tag=08 00000000000000000000000000000000 4of4
48361.00ns INFO [00006045] RELD tag=09 48000000000000000000000000000000 1of4 crit
48369.00ns INFO [00006046] RELD tag=09 00000000000000000000000000000000 2of4
48377.00ns INFO [00006047] RELD tag=09 48000000000000000000000000000000 3of4
48385.00ns INFO [00006048] RELD tag=09 00000000000000000000000000000000 4of4
48433.00ns INFO [00006054] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:6060
48465.00ns INFO [00006058] C0: CP 0:01002C 000000000001002C
48465.00ns INFO [00006058] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:6064
48481.00ns INFO [00006060] RELD tag=08 48000400440000020000000000000000 1of4 crit
48489.00ns INFO [00006061] RELD tag=08 00000000000000000000000000000000 2of4
48497.00ns INFO [00006062] RELD tag=08 4800104C000000000000000000000000 3of4
48505.00ns INFO [00006063] RELD tag=08 00000000000000000000000000000000 4of4
48513.00ns INFO [00006064] RELD tag=09 48000000000000000000000000000000 1of4 crit
48521.00ns INFO [00006065] RELD tag=09 00000000000000000000000000000000 2of4
48529.00ns INFO [00006066] RELD tag=09 48000000000000000000000000000000 3of4
48537.00ns INFO [00006067] RELD tag=09 00000000000000000000000000000000 4of4
48577.00ns INFO [00006072] T0 IFETCH 00000000 tag=08 len=16 WIMG:0 reld data:6078
48609.00ns INFO [00006076] C0: CP 0:010030 0000000000010030
48617.00ns INFO [00006077] T0 IFETCH 00000040 tag=09 len=16 WIMG:0 reld data:6082
48625.00ns INFO [00006078] RELD tag=08 48000400440000020000000000000000 1of4 crit
48633.00ns INFO [00006079] RELD tag=08 00000000000000000000000000000000 2of4
48641.00ns INFO [00006080] RELD tag=08 4800104C000000000000000000000000 3of4
48649.00ns INFO [00006081] RELD tag=08 00000000000000000000000000000000 4of4
48657.00ns INFO [00006082] RELD tag=09 48000000000000000000000000000000 1of4 crit
48665.00ns INFO [00006083] RELD tag=09 00000000000000000000000000000000 2of4
48673.00ns INFO [00006084] RELD tag=09 48000000000000000000000000000000 3of4
48681.00ns INFO [00006085] RELD tag=09 00000000000000000000000000000000 4of4
48785.00ns INFO [00006098] C0: CP 0:000004 0000000000000004
48801.00ns INFO [00006100] ...tick...
48809.00ns INFO [00006101] T0 IFETCH 00001060 tag=08 len=16 WIMG:0 reld data:6107
48857.00ns INFO [00006107] RELD tag=08 280900234081FF704BFFF7A948000000 1of4
48865.00ns INFO [00006108] RELD tag=08 9421FFF0906100089081000C48000258 2of4
48873.00ns INFO [00006109] RELD tag=08 60000000382100104E80002048000000 3of4 crit
48881.00ns INFO [00006110] T0 IFETCH 00000120 tag=09 len=16 WIMG:0 reld data:6114
48881.00ns INFO [00006110] RELD tag=08 0001C000000000000000000000000000 4of4
48913.00ns INFO [00006114] RELD tag=09 48000000000000000000000000000000 1of4
48921.00ns INFO [00006115] RELD tag=09 00000000000000000000000000000000 2of4
48929.00ns INFO [00006116] RELD tag=09 48001194000000000000000000000000 3of4 crit
48937.00ns INFO [00006117] RELD tag=09 00000000000000000000000000000000 4of4
49049.00ns INFO [00006131] C0: CP 0:000120 0000000000000120
49193.00ns INFO [00006149] C0: CP 0:0012B4 00000000000012B4
49241.00ns INFO [00006155] C0: CP 0:0012C0 1:0012C4 00000000000012C0
49249.00ns INFO [00006156] C0: CP 0:0012C8 00000000000012C8
49249.00ns INFO [00006156] GPR Update: R01=0000000000000000
49257.00ns INFO [00006157] GPR Update: R01=00000000000013A0
49305.00ns INFO [00006163] C0: CP 0:0012CC 00000000000012CC
49313.00ns INFO [00006164] C0: CP 0:0012D0 00000000000012D0
49321.00ns INFO [00006165] C0: CP 0:0012D4 00000000000012D4
49329.00ns INFO [00006166] C0: CP 0:0012D8 00000000000012D8
49337.00ns INFO [00006167] C0: CP 0:0012DC 00000000000012DC
49345.00ns INFO [00006168] C0: CP 0:0012E0 00000000000012E0
49345.00ns INFO [00006168] T0 STORE 000013A0 tag=00 len=4 be=F0000000 data=0000000000000000000000000000000000000000000000000000000000000000 WIMG:0
49345.00ns INFO [00006168] Mem Update: @000013A0 FFFFFFFF->00000000
49353.00ns INFO [00006169] C0: CP 0:0012E4 00000000000012E4
49353.00ns INFO [00006169] T0 STORE 000013A8 tag=01 len=4 be=00F00000 data=0000000000000000FFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49353.00ns INFO [00006169] Mem Update: @000013A8 FFFFFFFF->FFFFFFFF
49361.00ns INFO [00006170] C0: CP 0:0012E8 00000000000012E8
49361.00ns INFO [00006170] T0 STORE 000013AC tag=02 len=4 be=000F0000 data=0000000000000000000000000000000000000000000000000000000000000000 WIMG:0
49361.00ns INFO [00006170] Mem Update: @000013AC FFFFFFFF->00000000
49369.00ns INFO [00006171] C0: CP 0:0012EC 00000000000012EC
49369.00ns INFO [00006171] T0 STORE 000013B0 tag=03 len=4 be=F0000000 data=FFFFFFFF00000000000000000000000000000000000000000000000000000000 WIMG:0
49369.00ns INFO [00006171] Mem Update: @000013B0 FFFFFFFF->FFFFFFFF
49377.00ns INFO [00006172] C0: CP 0:0012F0 00000000000012F0
49385.00ns INFO [00006173] C0: CP 0:0012F4 00000000000012F4
49393.00ns INFO [00006174] T0 STORE 000013B4 tag=02 len=4 be=0F000000 data=00000000FFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
49393.00ns INFO [00006174] Mem Update: @000013B4 FFFFFFFF->FFFFFFFF
49401.00ns INFO [00006175] T0 STORE 000013B8 tag=02 len=4 be=00F00000 data=0000000000000000FFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49401.00ns INFO [00006175] Mem Update: @000013B8 FFFFFFFF->FFFFFFFF
49409.00ns INFO [00006176] T0 STORE 000013BC tag=02 len=4 be=000F0000 data=000000000000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49409.00ns INFO [00006176] Mem Update: @000013BC FFFFFFFF->FFFFFFFF
49417.00ns INFO [00006177] T0 STORE 000013C0 tag=03 len=4 be=F0000000 data=FFFFFFFF00000000000000000000000000000000000000000000000000000000 WIMG:0
49417.00ns INFO [00006177] Mem Update: @000013C0 FFFFFFFF->FFFFFFFF
49441.00ns INFO [00006180] T0 STORE 000013C4 tag=02 len=4 be=0F000000 data=00000000008A0C68000000000000000000000000000000000000000000000000 WIMG:0
49441.00ns INFO [00006180] Mem Update: @000013C4 FFFFFFFF->008A0C68
49449.00ns INFO [00006181] C0: CP 0:0012F8 00000000000012F8
49449.00ns INFO [00006181] T0 STORE 000013C8 tag=02 len=4 be=00F00000 data=0000000000000000FFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49449.00ns INFO [00006181] Mem Update: @000013C8 FFFFFFFF->FFFFFFFF
49457.00ns INFO [00006182] C0: CP 0:0012FC 00000000000012FC
49457.00ns INFO [00006182] T0 STORE 000013CC tag=02 len=4 be=000F0000 data=0000000000000000000000007FFFFFFF00000000000000000000000000000000 WIMG:0
49457.00ns INFO [00006182] Mem Update: @000013CC FFFFFFFF->7FFFFFFF
49465.00ns INFO [00006183] C0: CP 0:001300 0000000000001300
49473.00ns INFO [00006184] C0: CP 0:001304 0000000000001304
49489.00ns INFO [00006186] T0 STORE 000013D0 tag=01 len=4 be=F0000000 data=FFFFFFFF00000000000000000000000000000000000000000000000000000000 WIMG:0
49489.00ns INFO [00006186] Mem Update: @000013D0 FFFFFFFF->FFFFFFFF
49497.00ns INFO [00006187] C0: CP 0:001308 0000000000001308
49497.00ns INFO [00006187] T0 STORE 000013D4 tag=01 len=4 be=0F000000 data=00000000FFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
49497.00ns INFO [00006187] Mem Update: @000013D4 FFFFFFFF->FFFFFFFF
49505.00ns INFO [00006188] C0: CP 0:00130C 000000000000130C
49505.00ns INFO [00006188] T0 STORE 000013D8 tag=02 len=4 be=00F00000 data=00000000000000008C20BDE60000000000000000000000000000000000000000 WIMG:0
49505.00ns INFO [00006188] Mem Update: @000013D8 FFFFFFFF->8C20BDE6
49513.00ns INFO [00006189] C0: CP 0:001310 0000000000001310
49513.00ns INFO [00006189] T0 STORE 000013DC tag=03 len=4 be=000F0000 data=000000000000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49513.00ns INFO [00006189] Mem Update: @000013DC FFFFFFFF->FFFFFFFF
49521.00ns INFO [00006190] C0: CP 0:001314 0000000000001314
49529.00ns INFO [00006191] T0 IFETCH 00001380 tag=08 len=16 WIMG:0 reld data:6197
49537.00ns INFO [00006192] T0 STORE 000013E0 tag=02 len=4 be=F0000000 data=08AEBF6800000000000000000000000100000000000000000000000000000000 WIMG:0
49537.00ns INFO [00006192] Mem Update: @000013E0 FFFFFFFF->08AEBF68
49545.00ns INFO [00006193] C0: CP 0:001318 0000000000001318
49545.00ns INFO [00006193] T0 STORE 000013E4 tag=02 len=4 be=0F000000 data=FFFFFFFF80000001000000000000000000000000000000000000000000000000 WIMG:0
49545.00ns INFO [00006193] Mem Update: @000013E4 FFFFFFFF->80000001
49553.00ns INFO [00006194] C0: CP 0:00131C 000000000000131C
49553.00ns INFO [00006194] T0 STORE 000013E8 tag=02 len=4 be=00F00000 data=0000000000000000FFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49553.00ns INFO [00006194] Mem Update: @000013E8 FFFFFFFF->FFFFFFFF
49561.00ns INFO [00006195] C0: CP 0:001320 0000000000001320
49561.00ns INFO [00006195] T0 STORE 000013EC tag=03 len=4 be=000F0000 data=0000000000000000200000000000000000000000000000000000000000000000 WIMG:0
49561.00ns INFO [00006195] Mem Update: @000013EC FFFFFFFF->00000000
49569.00ns INFO [00006196] C0: CP 0:001324 0000000000001324
49569.00ns INFO [00006196] T0 IFETCH 000013C0 tag=09 len=16 WIMG:0 reld data:6201
49577.00ns INFO [00006197] RELD tag=08 8023009C3C6008676063530948000E4F 1of4 crit
49585.00ns INFO [00006198] T0 STORE 000013F0 tag=02 len=4 be=F0000000 data=FFFFFFFF00000000000000000000000000000000000000000000000000000000 WIMG:0
49585.00ns INFO [00006198] Mem Update: @000013F0 FFFFFFFF->FFFFFFFF
49585.00ns INFO [00006198] RELD tag=08 60000000600000006000000060000000 2of4
49593.00ns INFO [00006199] T0 STORE 000013F4 tag=02 len=4 be=0F000000 data=00000000FFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
49593.00ns INFO [00006199] Mem Update: @000013F4 FFFFFFFF->FFFFFFFF
49593.00ns INFO [00006199] RELD tag=08 00000000FFFFFFFFFFFFFFFF00000000 3of4
49601.00ns INFO [00006200] ...tick...
49601.00ns INFO [00006200] C0: CP 0:001328 0000000000001328
49601.00ns INFO [00006200] T0 STORE 000013F8 tag=02 len=4 be=00F00000 data=0000000000000000328A0CED0000000000000000000000000000000000000000 WIMG:0
49601.00ns INFO [00006200] Mem Update: @000013F8 FFFFFFFF->328A0CED
49601.00ns INFO [00006200] RELD tag=08 FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF 4of4
49609.00ns INFO [00006201] C0: CP 0:00132C 000000000000132C
49609.00ns INFO [00006201] T0 STORE 000013FC tag=03 len=4 be=000F0000 data=000000000000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49609.00ns INFO [00006201] Mem Update: @000013FC FFFFFFFF->FFFFFFFF
49609.00ns INFO [00006201] RELD tag=09 FFFFFFFF008A0C68FFFFFFFF7FFFFFFF 1of4 crit
49617.00ns INFO [00006202] C0: CP 0:001330 0000000000001330
49617.00ns INFO [00006202] RELD tag=09 FFFFFFFFFFFFFFFF8C20BDE6FFFFFFFF 2of4
49625.00ns INFO [00006203] C0: CP 0:001334 0000000000001334
49625.00ns INFO [00006203] RELD tag=09 08AEBF6880000001FFFFFFFF00000000 3of4
49633.00ns INFO [00006204] RELD tag=09 FFFFFFFFFFFFFFFF328A0CEDFFFFFFFF 4of4
49641.00ns INFO [00006205] T0 STORE 00001400 tag=01 len=4 be=F0000000 data=FFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49641.00ns INFO [00006205] Mem Update: @00001400 FFFFFFFF->FFFFFFFF
49649.00ns INFO [00006206] C0: CP 0:001338 0000000000001338
49649.00ns INFO [00006206] T0 STORE 00001404 tag=01 len=4 be=0F000000 data=00000000AF224C19FFFFFFFF008A0C6800000000000000000000000000000000 WIMG:0
49649.00ns INFO [00006206] Mem Update: @00001404 FFFFFFFF->AF224C19
49657.00ns INFO [00006207] C0: CP 0:00133C 000000000000133C
49657.00ns INFO [00006207] T0 STORE 00001408 tag=02 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49657.00ns INFO [00006207] Mem Update: @00001408 FFFFFFFF->FFFFFFFF
49665.00ns INFO [00006208] C0: CP 0:001340 0000000000001340
49665.00ns INFO [00006208] T0 STORE 0000140C tag=03 len=4 be=000F0000 data=08AEBF688000000100000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49665.00ns INFO [00006208] Mem Update: @0000140C FFFFFFFF->FFFFFFFF
49673.00ns INFO [00006209] C0: CP 0:001344 1:001348 0000000000001344
49681.00ns INFO [00006210] GPR Update: R02=FFFFFFFFCD75F313
49689.00ns INFO [00006211] C0: CP 0:00134C 1:001350 000000000000134C
49689.00ns INFO [00006211] T0 STORE 00001410 tag=02 len=4 be=F0000000 data=D624B27AFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49689.00ns INFO [00006211] Mem Update: @00001410 FFFFFFFF->D624B27A
49697.00ns INFO [00006212] C0: CP 0:000000 1:000000 0000000000001350
49697.00ns INFO [00006212] T0 STORE 00001414 tag=02 len=4 be=0F000000 data=00000000FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49697.00ns INFO [00006212] Mem Update: @00001414 FFFFFFFF->FFFFFFFF
49705.00ns INFO [00006213] C0: CP 0:000000 1:000000 0000000000001350
49705.00ns INFO [00006213] GPR Update: R02=0000000090000000
49705.00ns INFO [00006213] GPR Update: R32=000000000B000000
49705.00ns INFO [00006213] T0 STORE 00001418 tag=02 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49705.00ns INFO [00006213] Mem Update: @00001418 FFFFFFFF->FFFFFFFF
49713.00ns INFO [00006214] GPR Update: R02=000000009B000000
49713.00ns INFO [00006214] GPR Update: R32=0000000000F00000
49713.00ns INFO [00006214] T0 STORE 0000141C tag=03 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49713.00ns INFO [00006214] Mem Update: @0000141C FFFFFFFF->FFFFFFFF
49721.00ns INFO [00006215] C0: CP 0:000000 1:000000 0000000000001350
49729.00ns INFO [00006216] C0: CP 0:000000 1:000000 0000000000001350
49729.00ns INFO [00006216] GPR Update: R02=000000009BF00000
49729.00ns INFO [00006216] GPR Update: R32=00000000000D0000
49737.00ns INFO [00006217] GPR Update: R02=000000009BFD0000
49737.00ns INFO [00006217] GPR Update: R32=0000000000003000
49737.00ns INFO [00006217] T0 STORE 000013A4 tag=02 len=4 be=0F000000 data=FFFFFFFFCD75F313FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49737.00ns INFO [00006217] Mem Update: @000013A4 FFFFFFFF->CD75F313
49745.00ns INFO [00006218] C0: CP 0:000000 1:000000 0000000000001350
49753.00ns INFO [00006219] GPR Update: R02=000000009BFD3000
49753.00ns INFO [00006219] GPR Update: R32=0000000000000600
49761.00ns INFO [00006220] C0: CP 0:000000 1:000000 0000000000001350
49769.00ns INFO [00006221] GPR Update: R02=000000009BFD3600
49769.00ns INFO [00006221] GPR Update: R32=0000000000000020
49777.00ns INFO [00006222] C0: CP 0:000000 1:000000 0000000000001350
49785.00ns INFO [00006223] GPR Update: R02=000000009BFD3620
49785.00ns INFO [00006223] GPR Update: R32=0000000000000008
49793.00ns INFO [00006224] C0: CP 0:000000 0000000000001350
49801.00ns INFO [00006225] GPR Update: R02=000000009BFD3628
49825.00ns INFO [00006228] C0: CP 0:001354 1:001358 0000000000001354
49833.00ns INFO [00006229] C0: CP 0:00135C 1:001360 000000000000135C
49833.00ns INFO [00006229] GPR Update: R02=000000008000006E
49841.00ns INFO [00006230] C0: CP 0:001364 1:001368 0000000000001364
49841.00ns INFO [00006230] GPR Update: R02=00000000FFFFFFFF
49849.00ns INFO [00006231] C0: CP 0:00136C 1:001370 000000000000136C
49849.00ns INFO [00006231] GPR Update: R02=00000000FFFFFFFF
49857.00ns INFO [00006232] GPR Update: R02=FFFFFFFFCD75F313
49865.00ns INFO [00006233] C0: CP 0:001374 1:001378 0000000000001374
49865.00ns INFO [00006233] T0 STORE 00001420 tag=00 len=4 be=F0000000 data=9BFD3628FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
49865.00ns INFO [00006233] Mem Update: @00001420 FFFFFFFF->9BFD3628
49873.00ns INFO [00006234] C0: CP 0:00137C 000000000000137C
49873.00ns INFO [00006234] GPR Update: R03=0000000000000000
49873.00ns INFO [00006234] T0 STORE 00001424 tag=01 len=4 be=0F000000 data=000000008000006EFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49873.00ns INFO [00006234] Mem Update: @00001424 FFFFFFFF->8000006E
49881.00ns INFO [00006235] GPR Update: R03=00000000000010A0
49881.00ns INFO [00006235] T0 STORE 00001428 tag=02 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49881.00ns INFO [00006235] Mem Update: @00001428 FFFFFFFF->FFFFFFFF
49889.00ns INFO [00006236] T0 STORE 0000142C tag=03 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
49889.00ns INFO [00006236] Mem Update: @0000142C FFFFFFFF->FFFFFFFF
49913.00ns INFO [00006239] C0: CP 0:001380 1:001384 0000000000001380
49913.00ns INFO [00006239] T0 STORE 00001430 tag=02 len=4 be=F0000000 data=CD75F313FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
49913.00ns INFO [00006239] Mem Update: @00001430 FFFFFFFF->CD75F313
49921.00ns INFO [00006240] C0: CP 0:001388 1:00138C 0000000000001388
49921.00ns INFO [00006240] GPR Update: R01=000000000001FFB8
49921.00ns INFO [00006240] GPR Update: R03=0000000008670000
49929.00ns INFO [00006241] GPR Update: R03=0000000008675309
49929.00ns INFO [00006241] LR Update:0000000000001390
49937.00ns INFO [00006242] C0: CP 0:000E4C 1:000E50 0000000000000E4C
49945.00ns INFO [00006243] GPR Update: R00=0000000000001390
49945.00ns INFO [00006243] GPR Update: R01=000000000001FF98
49969.00ns INFO [00006246] C0: CP 0:000E54 0000000000000E54
49977.00ns INFO [00006247] C0: CP 0:000E58 1:000E5C 0000000000000E58
49977.00ns INFO [00006247] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=FFFFFFFF000000000001FFB8FFFFFFFF00000000000000000000000000000000 WIMG:0
49977.00ns INFO [00006247] Mem Update: @0001FF98 0001FFA8->0001FFB8
49985.00ns INFO [00006248] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ..........ÿ¸..............ÿø...<
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
49985.00ns INFO [00006248] C0: CP 0:000E60 0000000000000E60
49985.00ns INFO [00006248] GPR Update: R09=0000000000000001
50009.00ns INFO [00006251] T0 STORE 0001FFBC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000139000000000000000000000000000000000 WIMG:0
50009.00ns INFO [00006251] Mem Update: @0001FFBC 00000D3C->00001390
50017.00ns INFO [00006252] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00001390 ..........ÿ¸..............ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
50017.00ns INFO [00006252] T0 STORE 0001FFB0 tag=01 len=4 be=F0000000 data=08675309FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
50017.00ns INFO [00006252] Mem Update: @0001FFB0 0000000A->08675309
50025.00ns INFO [00006253] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0A000000 00000000 0001FFB8 00000000 08675309 00000000 0001FFF8 00001390 ..........ÿ¸.....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
50025.00ns INFO [00006253] T0 STORE 0001FFA4 tag=02 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
50025.00ns INFO [00006253] Mem Update: @0001FFA4 XXXXXXXX->00000001
50033.00ns INFO [00006254] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0A000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001390 ..........ÿ¸.....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
50113.00ns INFO [00006264] C0: CP 0:000E64 1:000E68 0000000000000E64
50121.00ns INFO [00006265] C0: CP 0:000E6C 1:000E70 0000000000000E6C
50121.00ns INFO [00006265] GPR Update: R09=0000000008670000
50121.00ns INFO [00006265] GPR Update: R10=0000000008675309
50129.00ns INFO [00006266] C0: CP 0:000E74 0000000000000E74
50129.00ns INFO [00006266] GPR Update: R09=0000000008675309
50129.00ns INFO [00006266] CR Update: F0=3
50169.00ns INFO [00006271] T0 IFETCH 00000E80 tag=08 len=16 WIMG:0 reld data:6277
50193.00ns INFO [00006274] T0 IFETCH 00000EC0 tag=09 len=16 WIMG:0 reld data:6281
50217.00ns INFO [00006277] RELD tag=08 39200000912100084800008C81210008 1of4 crit
50225.00ns INFO [00006278] RELD tag=08 552A103A3D200000392913A07D2A4A14 2of4
50233.00ns INFO [00006279] RELD tag=08 812900009121001081210008552A103A 3of4
50241.00ns INFO [00006280] RELD tag=08 3D200000392914407D2A4A1481290000 4of4
50249.00ns INFO [00006281] RELD tag=09 912100148121000C2C0900004182001C 1of4 crit
50257.00ns INFO [00006282] RELD tag=09 81410010812100147C0A48004082000C 2of4
50265.00ns INFO [00006283] RELD tag=09 3920000148000008392000009121000C 3of4
50273.00ns INFO [00006284] RELD tag=09 8121000C2C0900004082001081210008 4of4
50345.00ns INFO [00006293] C0: CP 0:000E80 0000000000000E80
50345.00ns INFO [00006293] T0 IFETCH 00000F10 tag=08 len=16 WIMG:0 reld data:6299
50353.00ns INFO [00006294] GPR Update: R09=0000000000000000
50369.00ns INFO [00006296] C0: CP 0:000E84 1:000E88 0000000000000E84
50369.00ns INFO [00006296] T0 IFETCH 00000F40 tag=09 len=16 WIMG:0 reld data:6303
50393.00ns INFO [00006299] RELD tag=08 7D234B784BFFF8F18121000839290001 1of4
50401.00ns INFO [00006300] ...tick...
50401.00ns INFO [00006300] RELD tag=08 91210008812100082809001F4081FF70 2of4 crit
50409.00ns INFO [00006301] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000008121000C2C0900000000000000000000000000000000000000000000 WIMG:0
50409.00ns INFO [00006301] Mem Update: @0001FFA0 0A000000->00000000
50409.00ns INFO [00006301] RELD tag=08 392000219121000881210008552A103A 3of4
50417.00ns INFO [00006302] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001390 ..........ÿ¸.....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
50417.00ns INFO [00006302] RELD tag=08 3D200000392913A07D2A4A1481290000 4of4
50425.00ns INFO [00006303] RELD tag=09 9121001081210008552A103A3D200000 1of4 crit
50433.00ns INFO [00006304] RELD tag=09 392914407D2A4A148129000055290644 2of4
50441.00ns INFO [00006305] RELD tag=09 912100148121000C2C0900004182001C 3of4
50449.00ns INFO [00006306] RELD tag=09 81410010812100147C0A48004082000C 4of4
50561.00ns INFO [00006320] C0: CP 0:000F14 1:000F18 0000000000000F14
50569.00ns INFO [00006321] GPR Update: R09=0000000000000000
50569.00ns INFO [00006321] CR Update: F0=9
50577.00ns INFO [00006322] C0: CP 0:000F1C 0000000000000F1C
50729.00ns INFO [00006341] C0: CP 0:000E8C 0000000000000E8C
50737.00ns INFO [00006342] C0: CP 0:000E90 1:000E94 0000000000000E90
50737.00ns INFO [00006342] GPR Update: R09=0000000000000000
50745.00ns INFO [00006343] C0: CP 0:000E98 1:000E9C 0000000000000E98
50745.00ns INFO [00006343] GPR Update: R09=0000000000000000
50745.00ns INFO [00006343] GPR Update: R10=0000000000000000
50753.00ns INFO [00006344] GPR Update: R09=00000000000013A0
50761.00ns INFO [00006345] T0 LOAD 000013A0 tag=00 len=4 WIMG:0 reld data:6351
50793.00ns INFO [00006349] T0 LOAD 00001440 tag=01 len=4 WIMG:0 reld data:6355
50809.00ns INFO [00006351] RELD tag=00 8023009C3C6008676063530948000E4F 1of4
50817.00ns INFO [00006352] RELD tag=00 60000000600000006000000060000000 2of4
50825.00ns INFO [00006353] RELD tag=00 00000000CD75F313FFFFFFFF00000000 3of4 crit
50833.00ns INFO [00006354] RELD tag=00 FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF 4of4
50841.00ns INFO [00006355] RELD tag=01 00000000CD75F313FFFFFFFF00000000 1of4 crit
50849.00ns INFO [00006356] RELD tag=01 FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF 2of4
50857.00ns INFO [00006357] RELD tag=01 FFFFFFFF008A0C68FFFFFFFF7FFFFFFF 3of4
50865.00ns INFO [00006358] RELD tag=01 FFFFFFFFFFFFFFFF8C20BDE6FFFFFFFF 4of4
50889.00ns INFO [00006361] C0: CP 0:000EA0 0000000000000EA0
50897.00ns INFO [00006362] GPR Update: R09=0000000000000000
50921.00ns INFO [00006365] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
50929.00ns INFO [00006366] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
50929.00ns INFO [00006366] GPR Update: R09=0000000000000000
50937.00ns INFO [00006367] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
50937.00ns INFO [00006367] GPR Update: R09=0000000000000000
50937.00ns INFO [00006367] GPR Update: R10=0000000000000000
50945.00ns INFO [00006368] C0: CP 0:000EBC 1:000EC0 0000000000000EBC
50945.00ns INFO [00006368] GPR Update: R09=0000000000001440
50953.00ns INFO [00006369] C0: CP 0:000EC4 1:000EC8 0000000000000EC4
50953.00ns INFO [00006369] GPR Update: R09=0000000000000000
50961.00ns INFO [00006370] C0: CP 0:000ECC 0000000000000ECC
50961.00ns INFO [00006370] GPR Update: R09=0000000000000001
50961.00ns INFO [00006370] CR Update: F0=5
50961.00ns INFO [00006370] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
50961.00ns INFO [00006370] Mem Update: @0001FFA8 0001FFB8->00000000
50969.00ns INFO [00006371] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000000 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
50985.00ns INFO [00006373] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
50985.00ns INFO [00006373] Mem Update: @0001FFAC XXXXXXXX->00000000
51065.00ns INFO [00006383] C0: CP 0:000ED0 0000000000000ED0
51073.00ns INFO [00006384] GPR Update: R10=0000000000000000
51081.00ns INFO [00006385] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
51089.00ns INFO [00006386] GPR Update: R09=0000000000000000
51089.00ns INFO [00006386] CR Update: F0=3
51097.00ns INFO [00006387] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
51105.00ns INFO [00006388] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
51105.00ns INFO [00006388] GPR Update: R09=0000000000000001
51145.00ns INFO [00006393] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
51145.00ns INFO [00006393] Mem Update: @0001FFA4 00000001->00000001
51201.00ns INFO [00006400] ...tick...
51241.00ns INFO [00006405] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
51249.00ns INFO [00006406] GPR Update: R09=0000000000000001
51249.00ns INFO [00006406] CR Update: F0=5
51257.00ns INFO [00006407] C0: CP 0:000EF8 0000000000000EF8
51393.00ns INFO [00006424] T0 IFETCH 00000F80 tag=08 len=16 WIMG:0 reld data:6430
51409.00ns INFO [00006426] C0: CP 0:000F08 1:000F0C 0000000000000F08
51417.00ns INFO [00006427] GPR Update: R09=0000000000000001
51417.00ns INFO [00006427] T0 IFETCH 00000FC0 tag=09 len=16 WIMG:0 reld data:6434
51433.00ns INFO [00006429] C0: CP 0:000F10 0000000000000F10
51441.00ns INFO [00006430] RELD tag=08 3920000148000008392000009121000C 1of4 crit
51449.00ns INFO [00006431] RELD tag=08 8121000C2C0900004082001081210008 2of4
51457.00ns INFO [00006432] RELD tag=08 7D234B784BFFF8513920002291210008 3of4
51465.00ns INFO [00006433] RELD tag=08 4800008C81210008552A103A3D200000 4of4
51473.00ns INFO [00006434] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000001FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
51473.00ns INFO [00006434] Mem Update: @0001FFA0 00000000->00000001
51473.00ns INFO [00006434] RELD tag=09 392913A07D2A4A148129000091210010 1of4 crit
51481.00ns INFO [00006435] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000001 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
51481.00ns INFO [00006435] RELD tag=09 81210008552A103A3D20000039291440 2of4
51489.00ns INFO [00006436] RELD tag=09 7D2A4A1481290000912100148121000C 3of4
51497.00ns INFO [00006437] RELD tag=09 2C0900004182001C8141001081210014 4of4
51577.00ns INFO [00006447] C0: CP 0:000F14 0000000000000F14
51585.00ns INFO [00006448] C0: CP 0:000F18 0000000000000F18
51585.00ns INFO [00006448] GPR Update: R09=0000000000000001
51593.00ns INFO [00006449] C0: CP 0:000F1C 0000000000000F1C
51593.00ns INFO [00006449] CR Update: F0=9
51745.00ns INFO [00006468] C0: CP 0:000E8C 0000000000000E8C
51753.00ns INFO [00006469] C0: CP 0:000E90 1:000E94 0000000000000E90
51753.00ns INFO [00006469] GPR Update: R09=0000000000000001
51761.00ns INFO [00006470] C0: CP 0:000E98 1:000E9C 0000000000000E98
51761.00ns INFO [00006470] GPR Update: R09=0000000000000000
51761.00ns INFO [00006470] GPR Update: R10=0000000000000004
51769.00ns INFO [00006471] GPR Update: R09=00000000000013A4
51817.00ns INFO [00006477] C0: CP 0:000EA0 0000000000000EA0
51825.00ns INFO [00006478] GPR Update: R09=00000000CD75F313
51833.00ns INFO [00006479] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
51841.00ns INFO [00006480] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
51841.00ns INFO [00006480] GPR Update: R09=0000000000000001
51849.00ns INFO [00006481] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
51849.00ns INFO [00006481] GPR Update: R09=0000000000000000
51849.00ns INFO [00006481] GPR Update: R10=0000000000000004
51857.00ns INFO [00006482] C0: CP 0:000EBC 0000000000000EBC
51857.00ns INFO [00006482] GPR Update: R09=0000000000001444
51865.00ns INFO [00006483] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
51865.00ns INFO [00006483] GPR Update: R09=00000000CD75F313
51873.00ns INFO [00006484] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
51873.00ns INFO [00006484] GPR Update: R09=0000000000000001
51873.00ns INFO [00006484] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000CD75F3132C09000000000000000000000000000000000000 WIMG:0
51873.00ns INFO [00006484] Mem Update: @0001FFA8 00000000->CD75F313
51881.00ns INFO [00006485] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000001 00000001 CD75F313 00000000 08675309 00000000 0001FFF8 00001390 ........Íuó......gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
51881.00ns INFO [00006485] CR Update: F0=5
51905.00ns INFO [00006488] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000CD75F31300000000000000000000000000000000 WIMG:0
51905.00ns INFO [00006488] Mem Update: @0001FFAC 00000000->CD75F313
51913.00ns INFO [00006489] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000001 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001390 ........Íuó.Íuó..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
51969.00ns INFO [00006496] C0: CP 0:000ED0 0000000000000ED0
51977.00ns INFO [00006497] GPR Update: R10=00000000CD75F313
52001.00ns INFO [00006500] ...tick...
52017.00ns INFO [00006502] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
52025.00ns INFO [00006503] GPR Update: R09=00000000CD75F313
52025.00ns INFO [00006503] CR Update: F0=3
52033.00ns INFO [00006504] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
52041.00ns INFO [00006505] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
52041.00ns INFO [00006505] GPR Update: R09=0000000000000001
52081.00ns INFO [00006510] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
52081.00ns INFO [00006510] Mem Update: @0001FFA4 00000001->00000001
52177.00ns INFO [00006522] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
52185.00ns INFO [00006523] GPR Update: R09=0000000000000001
52185.00ns INFO [00006523] CR Update: F0=5
52193.00ns INFO [00006524] C0: CP 0:000EF8 0000000000000EF8
52345.00ns INFO [00006543] C0: CP 0:000F08 1:000F0C 0000000000000F08
52353.00ns INFO [00006544] GPR Update: R09=0000000000000002
52369.00ns INFO [00006546] C0: CP 0:000F10 0000000000000F10
52409.00ns INFO [00006551] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000022C0900004182001C0000000000000000000000000000000000000000 WIMG:0
52409.00ns INFO [00006551] Mem Update: @0001FFA0 00000001->00000002
52417.00ns INFO [00006552] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000002 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001390 ........Íuó.Íuó..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
52513.00ns INFO [00006564] C0: CP 0:000F14 0000000000000F14
52521.00ns INFO [00006565] C0: CP 0:000F18 0000000000000F18
52521.00ns INFO [00006565] GPR Update: R09=0000000000000002
52529.00ns INFO [00006566] C0: CP 0:000F1C 0000000000000F1C
52529.00ns INFO [00006566] CR Update: F0=9
52681.00ns INFO [00006585] C0: CP 0:000E8C 0000000000000E8C
52689.00ns INFO [00006586] C0: CP 0:000E90 1:000E94 0000000000000E90
52689.00ns INFO [00006586] GPR Update: R09=0000000000000002
52697.00ns INFO [00006587] C0: CP 0:000E98 1:000E9C 0000000000000E98
52697.00ns INFO [00006587] GPR Update: R09=0000000000000000
52697.00ns INFO [00006587] GPR Update: R10=0000000000000008
52705.00ns INFO [00006588] GPR Update: R09=00000000000013A8
52753.00ns INFO [00006594] C0: CP 0:000EA0 0000000000000EA0
52761.00ns INFO [00006595] GPR Update: R09=00000000FFFFFFFF
52769.00ns INFO [00006596] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
52777.00ns INFO [00006597] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
52777.00ns INFO [00006597] GPR Update: R09=0000000000000002
52785.00ns INFO [00006598] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
52785.00ns INFO [00006598] GPR Update: R09=0000000000000000
52785.00ns INFO [00006598] GPR Update: R10=0000000000000008
52793.00ns INFO [00006599] C0: CP 0:000EBC 0000000000000EBC
52793.00ns INFO [00006599] GPR Update: R09=0000000000001448
52801.00ns INFO [00006600] ...tick...
52801.00ns INFO [00006600] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
52801.00ns INFO [00006600] GPR Update: R09=00000000FFFFFFFF
52809.00ns INFO [00006601] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
52809.00ns INFO [00006601] GPR Update: R09=0000000000000001
52809.00ns INFO [00006601] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
52809.00ns INFO [00006601] Mem Update: @0001FFA8 CD75F313->FFFFFFFF
52817.00ns INFO [00006602] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000002 00000001 FFFFFFFF CD75F313 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÍuó..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
52817.00ns INFO [00006602] CR Update: F0=5
52841.00ns INFO [00006605] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
52841.00ns INFO [00006605] Mem Update: @0001FFAC CD75F313->FFFFFFFF
52849.00ns INFO [00006606] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000002 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
52905.00ns INFO [00006613] C0: CP 0:000ED0 0000000000000ED0
52913.00ns INFO [00006614] GPR Update: R10=00000000FFFFFFFF
52953.00ns INFO [00006619] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
52961.00ns INFO [00006620] GPR Update: R09=00000000FFFFFFFF
52961.00ns INFO [00006620] CR Update: F0=3
52969.00ns INFO [00006621] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
52977.00ns INFO [00006622] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
52977.00ns INFO [00006622] GPR Update: R09=0000000000000001
53017.00ns INFO [00006627] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
53017.00ns INFO [00006627] Mem Update: @0001FFA4 00000001->00000001
53113.00ns INFO [00006639] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
53121.00ns INFO [00006640] GPR Update: R09=0000000000000001
53121.00ns INFO [00006640] CR Update: F0=5
53129.00ns INFO [00006641] C0: CP 0:000EF8 0000000000000EF8
53281.00ns INFO [00006660] C0: CP 0:000F08 1:000F0C 0000000000000F08
53289.00ns INFO [00006661] GPR Update: R09=0000000000000003
53305.00ns INFO [00006663] C0: CP 0:000F10 0000000000000F10
53345.00ns INFO [00006668] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000032C0900004182001C0000000000000000000000000000000000000000 WIMG:0
53345.00ns INFO [00006668] Mem Update: @0001FFA0 00000002->00000003
53353.00ns INFO [00006669] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000003 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53449.00ns INFO [00006681] C0: CP 0:000F14 0000000000000F14
53457.00ns INFO [00006682] C0: CP 0:000F18 0000000000000F18
53457.00ns INFO [00006682] GPR Update: R09=0000000000000003
53465.00ns INFO [00006683] C0: CP 0:000F1C 0000000000000F1C
53465.00ns INFO [00006683] CR Update: F0=9
53601.00ns INFO [00006700] ...tick...
53617.00ns INFO [00006702] C0: CP 0:000E8C 0000000000000E8C
53625.00ns INFO [00006703] C0: CP 0:000E90 1:000E94 0000000000000E90
53625.00ns INFO [00006703] GPR Update: R09=0000000000000003
53633.00ns INFO [00006704] C0: CP 0:000E98 1:000E9C 0000000000000E98
53633.00ns INFO [00006704] GPR Update: R09=0000000000000000
53633.00ns INFO [00006704] GPR Update: R10=000000000000000C
53641.00ns INFO [00006705] GPR Update: R09=00000000000013AC
53689.00ns INFO [00006711] C0: CP 0:000EA0 0000000000000EA0
53697.00ns INFO [00006712] GPR Update: R09=0000000000000000
53705.00ns INFO [00006713] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
53713.00ns INFO [00006714] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
53713.00ns INFO [00006714] GPR Update: R09=0000000000000003
53721.00ns INFO [00006715] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
53721.00ns INFO [00006715] GPR Update: R09=0000000000000000
53721.00ns INFO [00006715] GPR Update: R10=000000000000000C
53729.00ns INFO [00006716] C0: CP 0:000EBC 0000000000000EBC
53729.00ns INFO [00006716] GPR Update: R09=000000000000144C
53737.00ns INFO [00006717] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
53737.00ns INFO [00006717] GPR Update: R09=0000000000000000
53745.00ns INFO [00006718] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
53745.00ns INFO [00006718] GPR Update: R09=0000000000000001
53745.00ns INFO [00006718] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000000000002C09000000000000000000000000000000000000 WIMG:0
53745.00ns INFO [00006718] Mem Update: @0001FFA8 FFFFFFFF->00000000
53753.00ns INFO [00006719] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000003 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001390 ............ÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53753.00ns INFO [00006719] CR Update: F0=5
53777.00ns INFO [00006722] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C000000000000000000000000000000000000000000000000 WIMG:0
53777.00ns INFO [00006722] Mem Update: @0001FFAC FFFFFFFF->00000000
53785.00ns INFO [00006723] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000003 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
53841.00ns INFO [00006730] C0: CP 0:000ED0 0000000000000ED0
53849.00ns INFO [00006731] GPR Update: R10=0000000000000000
53889.00ns INFO [00006736] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
53897.00ns INFO [00006737] GPR Update: R09=0000000000000000
53897.00ns INFO [00006737] CR Update: F0=3
53905.00ns INFO [00006738] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
53913.00ns INFO [00006739] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
53913.00ns INFO [00006739] GPR Update: R09=0000000000000001
53953.00ns INFO [00006744] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
53953.00ns INFO [00006744] Mem Update: @0001FFA4 00000001->00000001
54049.00ns INFO [00006756] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
54057.00ns INFO [00006757] GPR Update: R09=0000000000000001
54057.00ns INFO [00006757] CR Update: F0=5
54065.00ns INFO [00006758] C0: CP 0:000EF8 0000000000000EF8
54217.00ns INFO [00006777] C0: CP 0:000F08 1:000F0C 0000000000000F08
54225.00ns INFO [00006778] GPR Update: R09=0000000000000004
54241.00ns INFO [00006780] C0: CP 0:000F10 0000000000000F10
54281.00ns INFO [00006785] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000042C0900004182001C0000000000000000000000000000000000000000 WIMG:0
54281.00ns INFO [00006785] Mem Update: @0001FFA0 00000003->00000004
54289.00ns INFO [00006786] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000004 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
54385.00ns INFO [00006798] C0: CP 0:000F14 0000000000000F14
54393.00ns INFO [00006799] C0: CP 0:000F18 0000000000000F18
54393.00ns INFO [00006799] GPR Update: R09=0000000000000004
54401.00ns INFO [00006800] ...tick...
54401.00ns INFO [00006800] C0: CP 0:000F1C 0000000000000F1C
54401.00ns INFO [00006800] CR Update: F0=9
54553.00ns INFO [00006819] C0: CP 0:000E8C 0000000000000E8C
54561.00ns INFO [00006820] C0: CP 0:000E90 1:000E94 0000000000000E90
54561.00ns INFO [00006820] GPR Update: R09=0000000000000004
54569.00ns INFO [00006821] C0: CP 0:000E98 1:000E9C 0000000000000E98
54569.00ns INFO [00006821] GPR Update: R09=0000000000000000
54569.00ns INFO [00006821] GPR Update: R10=0000000000000010
54577.00ns INFO [00006822] GPR Update: R09=00000000000013B0
54625.00ns INFO [00006828] C0: CP 0:000EA0 0000000000000EA0
54633.00ns INFO [00006829] GPR Update: R09=00000000FFFFFFFF
54641.00ns INFO [00006830] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
54649.00ns INFO [00006831] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
54649.00ns INFO [00006831] GPR Update: R09=0000000000000004
54657.00ns INFO [00006832] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
54657.00ns INFO [00006832] GPR Update: R09=0000000000000000
54657.00ns INFO [00006832] GPR Update: R10=0000000000000010
54665.00ns INFO [00006833] C0: CP 0:000EBC 0000000000000EBC
54665.00ns INFO [00006833] GPR Update: R09=0000000000001450
54673.00ns INFO [00006834] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
54673.00ns INFO [00006834] GPR Update: R09=00000000FFFFFFFF
54681.00ns INFO [00006835] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
54681.00ns INFO [00006835] GPR Update: R09=0000000000000001
54681.00ns INFO [00006835] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
54681.00ns INFO [00006835] Mem Update: @0001FFA8 00000000->FFFFFFFF
54689.00ns INFO [00006836] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000004 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ.....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
54689.00ns INFO [00006836] CR Update: F0=5
54713.00ns INFO [00006839] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
54713.00ns INFO [00006839] Mem Update: @0001FFAC 00000000->FFFFFFFF
54721.00ns INFO [00006840] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000004 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
54777.00ns INFO [00006847] C0: CP 0:000ED0 0000000000000ED0
54785.00ns INFO [00006848] GPR Update: R10=00000000FFFFFFFF
54825.00ns INFO [00006853] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
54833.00ns INFO [00006854] GPR Update: R09=00000000FFFFFFFF
54833.00ns INFO [00006854] CR Update: F0=3
54841.00ns INFO [00006855] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
54849.00ns INFO [00006856] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
54849.00ns INFO [00006856] GPR Update: R09=0000000000000001
54889.00ns INFO [00006861] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
54889.00ns INFO [00006861] Mem Update: @0001FFA4 00000001->00000001
54985.00ns INFO [00006873] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
54993.00ns INFO [00006874] GPR Update: R09=0000000000000001
54993.00ns INFO [00006874] CR Update: F0=5
55001.00ns INFO [00006875] C0: CP 0:000EF8 0000000000000EF8
55153.00ns INFO [00006894] C0: CP 0:000F08 1:000F0C 0000000000000F08
55161.00ns INFO [00006895] GPR Update: R09=0000000000000005
55177.00ns INFO [00006897] C0: CP 0:000F10 0000000000000F10
55201.00ns INFO [00006900] ...tick...
55217.00ns INFO [00006902] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000052C0900004182001C0000000000000000000000000000000000000000 WIMG:0
55217.00ns INFO [00006902] Mem Update: @0001FFA0 00000004->00000005
55225.00ns INFO [00006903] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000005 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
55321.00ns INFO [00006915] C0: CP 0:000F14 0000000000000F14
55329.00ns INFO [00006916] C0: CP 0:000F18 0000000000000F18
55329.00ns INFO [00006916] GPR Update: R09=0000000000000005
55337.00ns INFO [00006917] C0: CP 0:000F1C 0000000000000F1C
55337.00ns INFO [00006917] CR Update: F0=9
55489.00ns INFO [00006936] C0: CP 0:000E8C 0000000000000E8C
55497.00ns INFO [00006937] C0: CP 0:000E90 1:000E94 0000000000000E90
55497.00ns INFO [00006937] GPR Update: R09=0000000000000005
55505.00ns INFO [00006938] C0: CP 0:000E98 1:000E9C 0000000000000E98
55505.00ns INFO [00006938] GPR Update: R09=0000000000000000
55505.00ns INFO [00006938] GPR Update: R10=0000000000000014
55513.00ns INFO [00006939] GPR Update: R09=00000000000013B4
55561.00ns INFO [00006945] C0: CP 0:000EA0 0000000000000EA0
55569.00ns INFO [00006946] GPR Update: R09=00000000FFFFFFFF
55577.00ns INFO [00006947] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
55585.00ns INFO [00006948] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
55585.00ns INFO [00006948] GPR Update: R09=0000000000000005
55593.00ns INFO [00006949] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
55593.00ns INFO [00006949] GPR Update: R09=0000000000000000
55593.00ns INFO [00006949] GPR Update: R10=0000000000000014
55601.00ns INFO [00006950] C0: CP 0:000EBC 0000000000000EBC
55601.00ns INFO [00006950] GPR Update: R09=0000000000001454
55609.00ns INFO [00006951] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
55609.00ns INFO [00006951] GPR Update: R09=00000000FFFFFFFF
55617.00ns INFO [00006952] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
55617.00ns INFO [00006952] GPR Update: R09=0000000000000001
55617.00ns INFO [00006952] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
55617.00ns INFO [00006952] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
55625.00ns INFO [00006953] CR Update: F0=5
55649.00ns INFO [00006956] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
55649.00ns INFO [00006956] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
55713.00ns INFO [00006964] C0: CP 0:000ED0 0000000000000ED0
55721.00ns INFO [00006965] GPR Update: R10=00000000FFFFFFFF
55761.00ns INFO [00006970] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
55769.00ns INFO [00006971] GPR Update: R09=00000000FFFFFFFF
55769.00ns INFO [00006971] CR Update: F0=3
55777.00ns INFO [00006972] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
55785.00ns INFO [00006973] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
55785.00ns INFO [00006973] GPR Update: R09=0000000000000001
55825.00ns INFO [00006978] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
55825.00ns INFO [00006978] Mem Update: @0001FFA4 00000001->00000001
55921.00ns INFO [00006990] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
55929.00ns INFO [00006991] GPR Update: R09=0000000000000001
55929.00ns INFO [00006991] CR Update: F0=5
55937.00ns INFO [00006992] C0: CP 0:000EF8 0000000000000EF8
56001.00ns INFO [00007000] ...tick...
56089.00ns INFO [00007011] C0: CP 0:000F08 1:000F0C 0000000000000F08
56097.00ns INFO [00007012] GPR Update: R09=0000000000000006
56113.00ns INFO [00007014] C0: CP 0:000F10 0000000000000F10
56153.00ns INFO [00007019] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000062C0900004182001C0000000000000000000000000000000000000000 WIMG:0
56153.00ns INFO [00007019] Mem Update: @0001FFA0 00000005->00000006
56161.00ns INFO [00007020] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000006 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
56257.00ns INFO [00007032] C0: CP 0:000F14 0000000000000F14
56265.00ns INFO [00007033] C0: CP 0:000F18 0000000000000F18
56265.00ns INFO [00007033] GPR Update: R09=0000000000000006
56273.00ns INFO [00007034] C0: CP 0:000F1C 0000000000000F1C
56273.00ns INFO [00007034] CR Update: F0=9
56425.00ns INFO [00007053] C0: CP 0:000E8C 0000000000000E8C
56433.00ns INFO [00007054] C0: CP 0:000E90 1:000E94 0000000000000E90
56433.00ns INFO [00007054] GPR Update: R09=0000000000000006
56441.00ns INFO [00007055] C0: CP 0:000E98 1:000E9C 0000000000000E98
56441.00ns INFO [00007055] GPR Update: R09=0000000000000000
56441.00ns INFO [00007055] GPR Update: R10=0000000000000018
56449.00ns INFO [00007056] GPR Update: R09=00000000000013B8
56497.00ns INFO [00007062] C0: CP 0:000EA0 0000000000000EA0
56505.00ns INFO [00007063] GPR Update: R09=00000000FFFFFFFF
56513.00ns INFO [00007064] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
56521.00ns INFO [00007065] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
56521.00ns INFO [00007065] GPR Update: R09=0000000000000006
56529.00ns INFO [00007066] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
56529.00ns INFO [00007066] GPR Update: R09=0000000000000000
56529.00ns INFO [00007066] GPR Update: R10=0000000000000018
56537.00ns INFO [00007067] C0: CP 0:000EBC 0000000000000EBC
56537.00ns INFO [00007067] GPR Update: R09=0000000000001458
56545.00ns INFO [00007068] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
56545.00ns INFO [00007068] GPR Update: R09=00000000FFFFFFFF
56553.00ns INFO [00007069] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
56553.00ns INFO [00007069] GPR Update: R09=0000000000000001
56553.00ns INFO [00007069] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
56553.00ns INFO [00007069] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
56561.00ns INFO [00007070] CR Update: F0=5
56585.00ns INFO [00007073] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
56585.00ns INFO [00007073] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
56649.00ns INFO [00007081] C0: CP 0:000ED0 0000000000000ED0
56657.00ns INFO [00007082] GPR Update: R10=00000000FFFFFFFF
56697.00ns INFO [00007087] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
56705.00ns INFO [00007088] GPR Update: R09=00000000FFFFFFFF
56705.00ns INFO [00007088] CR Update: F0=3
56713.00ns INFO [00007089] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
56721.00ns INFO [00007090] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
56721.00ns INFO [00007090] GPR Update: R09=0000000000000001
56761.00ns INFO [00007095] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
56761.00ns INFO [00007095] Mem Update: @0001FFA4 00000001->00000001
56801.00ns INFO [00007100] ...tick...
56857.00ns INFO [00007107] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
56865.00ns INFO [00007108] GPR Update: R09=0000000000000001
56865.00ns INFO [00007108] CR Update: F0=5
56873.00ns INFO [00007109] C0: CP 0:000EF8 0000000000000EF8
57025.00ns INFO [00007128] C0: CP 0:000F08 1:000F0C 0000000000000F08
57033.00ns INFO [00007129] GPR Update: R09=0000000000000007
57049.00ns INFO [00007131] C0: CP 0:000F10 0000000000000F10
57089.00ns INFO [00007136] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000072C0900004182001C0000000000000000000000000000000000000000 WIMG:0
57089.00ns INFO [00007136] Mem Update: @0001FFA0 00000006->00000007
57097.00ns INFO [00007137] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000007 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
57193.00ns INFO [00007149] C0: CP 0:000F14 0000000000000F14
57201.00ns INFO [00007150] C0: CP 0:000F18 0000000000000F18
57201.00ns INFO [00007150] GPR Update: R09=0000000000000007
57209.00ns INFO [00007151] C0: CP 0:000F1C 0000000000000F1C
57209.00ns INFO [00007151] CR Update: F0=9
57361.00ns INFO [00007170] C0: CP 0:000E8C 0000000000000E8C
57369.00ns INFO [00007171] C0: CP 0:000E90 1:000E94 0000000000000E90
57369.00ns INFO [00007171] GPR Update: R09=0000000000000007
57377.00ns INFO [00007172] C0: CP 0:000E98 1:000E9C 0000000000000E98
57377.00ns INFO [00007172] GPR Update: R09=0000000000000000
57377.00ns INFO [00007172] GPR Update: R10=000000000000001C
57385.00ns INFO [00007173] GPR Update: R09=00000000000013BC
57433.00ns INFO [00007179] C0: CP 0:000EA0 0000000000000EA0
57441.00ns INFO [00007180] GPR Update: R09=00000000FFFFFFFF
57449.00ns INFO [00007181] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
57457.00ns INFO [00007182] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
57457.00ns INFO [00007182] GPR Update: R09=0000000000000007
57465.00ns INFO [00007183] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
57465.00ns INFO [00007183] GPR Update: R09=0000000000000000
57465.00ns INFO [00007183] GPR Update: R10=000000000000001C
57473.00ns INFO [00007184] C0: CP 0:000EBC 0000000000000EBC
57473.00ns INFO [00007184] GPR Update: R09=000000000000145C
57481.00ns INFO [00007185] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
57481.00ns INFO [00007185] GPR Update: R09=00000000FFFFFFFF
57489.00ns INFO [00007186] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
57489.00ns INFO [00007186] GPR Update: R09=0000000000000001
57489.00ns INFO [00007186] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
57489.00ns INFO [00007186] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
57497.00ns INFO [00007187] CR Update: F0=5
57521.00ns INFO [00007190] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
57521.00ns INFO [00007190] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
57585.00ns INFO [00007198] C0: CP 0:000ED0 0000000000000ED0
57593.00ns INFO [00007199] GPR Update: R10=00000000FFFFFFFF
57601.00ns INFO [00007200] ...tick...
57633.00ns INFO [00007204] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
57641.00ns INFO [00007205] GPR Update: R09=00000000FFFFFFFF
57641.00ns INFO [00007205] CR Update: F0=3
57649.00ns INFO [00007206] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
57657.00ns INFO [00007207] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
57657.00ns INFO [00007207] GPR Update: R09=0000000000000001
57697.00ns INFO [00007212] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
57697.00ns INFO [00007212] Mem Update: @0001FFA4 00000001->00000001
57793.00ns INFO [00007224] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
57801.00ns INFO [00007225] GPR Update: R09=0000000000000001
57801.00ns INFO [00007225] CR Update: F0=5
57809.00ns INFO [00007226] C0: CP 0:000EF8 0000000000000EF8
57961.00ns INFO [00007245] C0: CP 0:000F08 1:000F0C 0000000000000F08
57969.00ns INFO [00007246] GPR Update: R09=0000000000000008
57985.00ns INFO [00007248] C0: CP 0:000F10 0000000000000F10
58025.00ns INFO [00007253] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000082C0900004182001C0000000000000000000000000000000000000000 WIMG:0
58025.00ns INFO [00007253] Mem Update: @0001FFA0 00000007->00000008
58033.00ns INFO [00007254] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000008 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
58129.00ns INFO [00007266] C0: CP 0:000F14 0000000000000F14
58137.00ns INFO [00007267] C0: CP 0:000F18 0000000000000F18
58137.00ns INFO [00007267] GPR Update: R09=0000000000000008
58145.00ns INFO [00007268] C0: CP 0:000F1C 0000000000000F1C
58145.00ns INFO [00007268] CR Update: F0=9
58297.00ns INFO [00007287] C0: CP 0:000E8C 0000000000000E8C
58305.00ns INFO [00007288] C0: CP 0:000E90 1:000E94 0000000000000E90
58305.00ns INFO [00007288] GPR Update: R09=0000000000000008
58313.00ns INFO [00007289] C0: CP 0:000E98 1:000E9C 0000000000000E98
58313.00ns INFO [00007289] GPR Update: R09=0000000000000000
58313.00ns INFO [00007289] GPR Update: R10=0000000000000020
58321.00ns INFO [00007290] GPR Update: R09=00000000000013C0
58329.00ns INFO [00007291] T0 LOAD 000013C0 tag=00 len=4 WIMG:0 reld data:7297
58377.00ns INFO [00007297] RELD tag=00 FFFFFFFF008A0C68FFFFFFFF7FFFFFFF 1of4 crit
58385.00ns INFO [00007298] RELD tag=00 FFFFFFFFFFFFFFFF8C20BDE6FFFFFFFF 2of4
58393.00ns INFO [00007299] RELD tag=00 08AEBF6880000001FFFFFFFF00000000 3of4
58401.00ns INFO [00007300] ...tick...
58401.00ns INFO [00007300] RELD tag=00 FFFFFFFFFFFFFFFF328A0CEDFFFFFFFF 4of4
58441.00ns INFO [00007305] C0: CP 0:000EA0 0000000000000EA0
58449.00ns INFO [00007306] GPR Update: R09=00000000FFFFFFFF
58473.00ns INFO [00007309] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
58481.00ns INFO [00007310] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
58481.00ns INFO [00007310] GPR Update: R09=0000000000000008
58489.00ns INFO [00007311] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
58489.00ns INFO [00007311] GPR Update: R09=0000000000000000
58489.00ns INFO [00007311] GPR Update: R10=0000000000000020
58497.00ns INFO [00007312] C0: CP 0:000EBC 1:000EC0 0000000000000EBC
58497.00ns INFO [00007312] GPR Update: R09=0000000000001460
58505.00ns INFO [00007313] C0: CP 0:000EC4 1:000EC8 0000000000000EC4
58505.00ns INFO [00007313] GPR Update: R09=00000000FFFFFFFF
58513.00ns INFO [00007314] C0: CP 0:000ECC 0000000000000ECC
58513.00ns INFO [00007314] GPR Update: R09=0000000000000001
58513.00ns INFO [00007314] CR Update: F0=5
58513.00ns INFO [00007314] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
58513.00ns INFO [00007314] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
58537.00ns INFO [00007317] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
58537.00ns INFO [00007317] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
58617.00ns INFO [00007327] C0: CP 0:000ED0 0000000000000ED0
58625.00ns INFO [00007328] GPR Update: R10=00000000FFFFFFFF
58633.00ns INFO [00007329] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
58641.00ns INFO [00007330] GPR Update: R09=00000000FFFFFFFF
58641.00ns INFO [00007330] CR Update: F0=3
58649.00ns INFO [00007331] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
58657.00ns INFO [00007332] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
58657.00ns INFO [00007332] GPR Update: R09=0000000000000001
58697.00ns INFO [00007337] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
58697.00ns INFO [00007337] Mem Update: @0001FFA4 00000001->00000001
58793.00ns INFO [00007349] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
58801.00ns INFO [00007350] GPR Update: R09=0000000000000001
58801.00ns INFO [00007350] CR Update: F0=5
58809.00ns INFO [00007351] C0: CP 0:000EF8 0000000000000EF8
58961.00ns INFO [00007370] C0: CP 0:000F08 1:000F0C 0000000000000F08
58969.00ns INFO [00007371] GPR Update: R09=0000000000000009
58985.00ns INFO [00007373] C0: CP 0:000F10 0000000000000F10
59025.00ns INFO [00007378] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000009FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
59025.00ns INFO [00007378] Mem Update: @0001FFA0 00000008->00000009
59033.00ns INFO [00007379] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000009 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
59129.00ns INFO [00007391] C0: CP 0:000F14 0000000000000F14
59137.00ns INFO [00007392] C0: CP 0:000F18 0000000000000F18
59137.00ns INFO [00007392] GPR Update: R09=0000000000000009
59145.00ns INFO [00007393] C0: CP 0:000F1C 0000000000000F1C
59145.00ns INFO [00007393] CR Update: F0=9
59201.00ns INFO [00007400] ...tick...
59297.00ns INFO [00007412] C0: CP 0:000E8C 0000000000000E8C
59305.00ns INFO [00007413] C0: CP 0:000E90 1:000E94 0000000000000E90
59305.00ns INFO [00007413] GPR Update: R09=0000000000000009
59313.00ns INFO [00007414] C0: CP 0:000E98 1:000E9C 0000000000000E98
59313.00ns INFO [00007414] GPR Update: R09=0000000000000000
59313.00ns INFO [00007414] GPR Update: R10=0000000000000024
59321.00ns INFO [00007415] GPR Update: R09=00000000000013C4
59369.00ns INFO [00007421] C0: CP 0:000EA0 0000000000000EA0
59377.00ns INFO [00007422] GPR Update: R09=00000000008A0C68
59385.00ns INFO [00007423] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
59393.00ns INFO [00007424] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
59393.00ns INFO [00007424] GPR Update: R09=0000000000000009
59401.00ns INFO [00007425] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
59401.00ns INFO [00007425] GPR Update: R09=0000000000000000
59401.00ns INFO [00007425] GPR Update: R10=0000000000000024
59409.00ns INFO [00007426] C0: CP 0:000EBC 0000000000000EBC
59409.00ns INFO [00007426] GPR Update: R09=0000000000001464
59417.00ns INFO [00007427] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
59417.00ns INFO [00007427] GPR Update: R09=00000000008A0C68
59425.00ns INFO [00007428] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
59425.00ns INFO [00007428] GPR Update: R09=0000000000000001
59425.00ns INFO [00007428] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000008A0C68FFFFFFFF00000000000000000000000000000000 WIMG:0
59425.00ns INFO [00007428] Mem Update: @0001FFA8 FFFFFFFF->008A0C68
59433.00ns INFO [00007429] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000009 00000001 008A0C68 FFFFFFFF 08675309 00000000 0001FFF8 00001390 .........Š.hÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
59433.00ns INFO [00007429] CR Update: F0=5
59457.00ns INFO [00007432] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000008A0C6800000000000000000000000000000000 WIMG:0
59457.00ns INFO [00007432] Mem Update: @0001FFAC FFFFFFFF->008A0C68
59465.00ns INFO [00007433] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000009 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001390 .........Š.h.Š.h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
59521.00ns INFO [00007440] C0: CP 0:000ED0 0000000000000ED0
59529.00ns INFO [00007441] GPR Update: R10=00000000008A0C68
59569.00ns INFO [00007446] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
59577.00ns INFO [00007447] GPR Update: R09=00000000008A0C68
59577.00ns INFO [00007447] CR Update: F0=3
59585.00ns INFO [00007448] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
59593.00ns INFO [00007449] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
59593.00ns INFO [00007449] GPR Update: R09=0000000000000001
59633.00ns INFO [00007454] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
59633.00ns INFO [00007454] Mem Update: @0001FFA4 00000001->00000001
59729.00ns INFO [00007466] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
59737.00ns INFO [00007467] GPR Update: R09=0000000000000001
59737.00ns INFO [00007467] CR Update: F0=5
59745.00ns INFO [00007468] C0: CP 0:000EF8 0000000000000EF8
59897.00ns INFO [00007487] C0: CP 0:000F08 1:000F0C 0000000000000F08
59905.00ns INFO [00007488] GPR Update: R09=000000000000000A
59921.00ns INFO [00007490] C0: CP 0:000F10 0000000000000F10
59961.00ns INFO [00007495] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000AFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
59961.00ns INFO [00007495] Mem Update: @0001FFA0 00000009->0000000A
59969.00ns INFO [00007496] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000A 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001390 .........Š.h.Š.h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60001.00ns INFO [00007500] ...tick...
60065.00ns INFO [00007508] C0: CP 0:000F14 0000000000000F14
60073.00ns INFO [00007509] C0: CP 0:000F18 0000000000000F18
60073.00ns INFO [00007509] GPR Update: R09=000000000000000A
60081.00ns INFO [00007510] C0: CP 0:000F1C 0000000000000F1C
60081.00ns INFO [00007510] CR Update: F0=9
60233.00ns INFO [00007529] C0: CP 0:000E8C 0000000000000E8C
60241.00ns INFO [00007530] C0: CP 0:000E90 1:000E94 0000000000000E90
60241.00ns INFO [00007530] GPR Update: R09=000000000000000A
60249.00ns INFO [00007531] C0: CP 0:000E98 1:000E9C 0000000000000E98
60249.00ns INFO [00007531] GPR Update: R09=0000000000000000
60249.00ns INFO [00007531] GPR Update: R10=0000000000000028
60257.00ns INFO [00007532] GPR Update: R09=00000000000013C8
60305.00ns INFO [00007538] C0: CP 0:000EA0 0000000000000EA0
60313.00ns INFO [00007539] GPR Update: R09=00000000FFFFFFFF
60321.00ns INFO [00007540] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
60329.00ns INFO [00007541] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
60329.00ns INFO [00007541] GPR Update: R09=000000000000000A
60337.00ns INFO [00007542] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
60337.00ns INFO [00007542] GPR Update: R09=0000000000000000
60337.00ns INFO [00007542] GPR Update: R10=0000000000000028
60345.00ns INFO [00007543] C0: CP 0:000EBC 0000000000000EBC
60345.00ns INFO [00007543] GPR Update: R09=0000000000001468
60353.00ns INFO [00007544] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
60353.00ns INFO [00007544] GPR Update: R09=00000000FFFFFFFF
60361.00ns INFO [00007545] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
60361.00ns INFO [00007545] GPR Update: R09=0000000000000001
60361.00ns INFO [00007545] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
60361.00ns INFO [00007545] Mem Update: @0001FFA8 008A0C68->FFFFFFFF
60369.00ns INFO [00007546] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000A 00000001 FFFFFFFF 008A0C68 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ.Š.h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60369.00ns INFO [00007546] CR Update: F0=5
60393.00ns INFO [00007549] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
60393.00ns INFO [00007549] Mem Update: @0001FFAC 008A0C68->FFFFFFFF
60401.00ns INFO [00007550] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
60457.00ns INFO [00007557] C0: CP 0:000ED0 0000000000000ED0
60465.00ns INFO [00007558] GPR Update: R10=00000000FFFFFFFF
60505.00ns INFO [00007563] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
60513.00ns INFO [00007564] GPR Update: R09=00000000FFFFFFFF
60513.00ns INFO [00007564] CR Update: F0=3
60521.00ns INFO [00007565] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
60529.00ns INFO [00007566] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
60529.00ns INFO [00007566] GPR Update: R09=0000000000000001
60569.00ns INFO [00007571] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
60569.00ns INFO [00007571] Mem Update: @0001FFA4 00000001->00000001
60665.00ns INFO [00007583] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
60673.00ns INFO [00007584] GPR Update: R09=0000000000000001
60673.00ns INFO [00007584] CR Update: F0=5
60681.00ns INFO [00007585] C0: CP 0:000EF8 0000000000000EF8
60801.00ns INFO [00007600] ...tick...
60833.00ns INFO [00007604] C0: CP 0:000F08 1:000F0C 0000000000000F08
60841.00ns INFO [00007605] GPR Update: R09=000000000000000B
60857.00ns INFO [00007607] C0: CP 0:000F10 0000000000000F10
60897.00ns INFO [00007612] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000BFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
60897.00ns INFO [00007612] Mem Update: @0001FFA0 0000000A->0000000B
60905.00ns INFO [00007613] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61001.00ns INFO [00007625] C0: CP 0:000F14 0000000000000F14
61009.00ns INFO [00007626] C0: CP 0:000F18 0000000000000F18
61009.00ns INFO [00007626] GPR Update: R09=000000000000000B
61017.00ns INFO [00007627] C0: CP 0:000F1C 0000000000000F1C
61017.00ns INFO [00007627] CR Update: F0=9
61169.00ns INFO [00007646] C0: CP 0:000E8C 0000000000000E8C
61177.00ns INFO [00007647] C0: CP 0:000E90 1:000E94 0000000000000E90
61177.00ns INFO [00007647] GPR Update: R09=000000000000000B
61185.00ns INFO [00007648] C0: CP 0:000E98 1:000E9C 0000000000000E98
61185.00ns INFO [00007648] GPR Update: R09=0000000000000000
61185.00ns INFO [00007648] GPR Update: R10=000000000000002C
61193.00ns INFO [00007649] GPR Update: R09=00000000000013CC
61241.00ns INFO [00007655] C0: CP 0:000EA0 0000000000000EA0
61249.00ns INFO [00007656] GPR Update: R09=000000007FFFFFFF
61257.00ns INFO [00007657] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
61265.00ns INFO [00007658] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
61265.00ns INFO [00007658] GPR Update: R09=000000000000000B
61273.00ns INFO [00007659] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
61273.00ns INFO [00007659] GPR Update: R09=0000000000000000
61273.00ns INFO [00007659] GPR Update: R10=000000000000002C
61281.00ns INFO [00007660] C0: CP 0:000EBC 0000000000000EBC
61281.00ns INFO [00007660] GPR Update: R09=000000000000146C
61289.00ns INFO [00007661] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
61289.00ns INFO [00007661] GPR Update: R09=000000007FFFFFFF
61297.00ns INFO [00007662] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
61297.00ns INFO [00007662] GPR Update: R09=0000000000000001
61297.00ns INFO [00007662] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000007FFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
61297.00ns INFO [00007662] Mem Update: @0001FFA8 FFFFFFFF->7FFFFFFF
61305.00ns INFO [00007663] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000B 00000001 7FFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61305.00ns INFO [00007663] CR Update: F0=5
61329.00ns INFO [00007666] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000007FFFFFFF00000000000000000000000000000000 WIMG:0
61329.00ns INFO [00007666] Mem Update: @0001FFAC FFFFFFFF->7FFFFFFF
61337.00ns INFO [00007667] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000B 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61393.00ns INFO [00007674] C0: CP 0:000ED0 0000000000000ED0
61401.00ns INFO [00007675] GPR Update: R10=000000007FFFFFFF
61441.00ns INFO [00007680] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
61449.00ns INFO [00007681] GPR Update: R09=000000007FFFFFFF
61449.00ns INFO [00007681] CR Update: F0=3
61457.00ns INFO [00007682] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
61465.00ns INFO [00007683] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
61465.00ns INFO [00007683] GPR Update: R09=0000000000000001
61505.00ns INFO [00007688] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
61505.00ns INFO [00007688] Mem Update: @0001FFA4 00000001->00000001
61601.00ns INFO [00007700] ...tick...
61601.00ns INFO [00007700] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
61609.00ns INFO [00007701] GPR Update: R09=0000000000000001
61609.00ns INFO [00007701] CR Update: F0=5
61617.00ns INFO [00007702] C0: CP 0:000EF8 0000000000000EF8
61769.00ns INFO [00007721] C0: CP 0:000F08 1:000F0C 0000000000000F08
61777.00ns INFO [00007722] GPR Update: R09=000000000000000C
61793.00ns INFO [00007724] C0: CP 0:000F10 0000000000000F10
61833.00ns INFO [00007729] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000CFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
61833.00ns INFO [00007729] Mem Update: @0001FFA0 0000000B->0000000C
61841.00ns INFO [00007730] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000C 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
61937.00ns INFO [00007742] C0: CP 0:000F14 0000000000000F14
61945.00ns INFO [00007743] C0: CP 0:000F18 0000000000000F18
61945.00ns INFO [00007743] GPR Update: R09=000000000000000C
61953.00ns INFO [00007744] C0: CP 0:000F1C 0000000000000F1C
61953.00ns INFO [00007744] CR Update: F0=9
62105.00ns INFO [00007763] C0: CP 0:000E8C 0000000000000E8C
62113.00ns INFO [00007764] C0: CP 0:000E90 1:000E94 0000000000000E90
62113.00ns INFO [00007764] GPR Update: R09=000000000000000C
62121.00ns INFO [00007765] C0: CP 0:000E98 1:000E9C 0000000000000E98
62121.00ns INFO [00007765] GPR Update: R09=0000000000000000
62121.00ns INFO [00007765] GPR Update: R10=0000000000000030
62129.00ns INFO [00007766] GPR Update: R09=00000000000013D0
62177.00ns INFO [00007772] C0: CP 0:000EA0 0000000000000EA0
62185.00ns INFO [00007773] GPR Update: R09=00000000FFFFFFFF
62193.00ns INFO [00007774] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
62201.00ns INFO [00007775] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
62201.00ns INFO [00007775] GPR Update: R09=000000000000000C
62209.00ns INFO [00007776] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
62209.00ns INFO [00007776] GPR Update: R09=0000000000000000
62209.00ns INFO [00007776] GPR Update: R10=0000000000000030
62217.00ns INFO [00007777] C0: CP 0:000EBC 0000000000000EBC
62217.00ns INFO [00007777] GPR Update: R09=0000000000001470
62225.00ns INFO [00007778] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
62225.00ns INFO [00007778] GPR Update: R09=00000000FFFFFFFF
62233.00ns INFO [00007779] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
62233.00ns INFO [00007779] GPR Update: R09=0000000000000001
62233.00ns INFO [00007779] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
62233.00ns INFO [00007779] Mem Update: @0001FFA8 7FFFFFFF->FFFFFFFF
62241.00ns INFO [00007780] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000C 00000001 FFFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62241.00ns INFO [00007780] CR Update: F0=5
62265.00ns INFO [00007783] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
62265.00ns INFO [00007783] Mem Update: @0001FFAC 7FFFFFFF->FFFFFFFF
62273.00ns INFO [00007784] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62329.00ns INFO [00007791] C0: CP 0:000ED0 0000000000000ED0
62337.00ns INFO [00007792] GPR Update: R10=00000000FFFFFFFF
62377.00ns INFO [00007797] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
62385.00ns INFO [00007798] GPR Update: R09=00000000FFFFFFFF
62385.00ns INFO [00007798] CR Update: F0=3
62393.00ns INFO [00007799] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
62401.00ns INFO [00007800] ...tick...
62401.00ns INFO [00007800] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
62401.00ns INFO [00007800] GPR Update: R09=0000000000000001
62441.00ns INFO [00007805] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
62441.00ns INFO [00007805] Mem Update: @0001FFA4 00000001->00000001
62537.00ns INFO [00007817] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
62545.00ns INFO [00007818] GPR Update: R09=0000000000000001
62545.00ns INFO [00007818] CR Update: F0=5
62553.00ns INFO [00007819] C0: CP 0:000EF8 0000000000000EF8
62705.00ns INFO [00007838] C0: CP 0:000F08 1:000F0C 0000000000000F08
62713.00ns INFO [00007839] GPR Update: R09=000000000000000D
62729.00ns INFO [00007841] C0: CP 0:000F10 0000000000000F10
62769.00ns INFO [00007846] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000DFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
62769.00ns INFO [00007846] Mem Update: @0001FFA0 0000000C->0000000D
62777.00ns INFO [00007847] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
62873.00ns INFO [00007859] C0: CP 0:000F14 0000000000000F14
62881.00ns INFO [00007860] C0: CP 0:000F18 0000000000000F18
62881.00ns INFO [00007860] GPR Update: R09=000000000000000D
62889.00ns INFO [00007861] C0: CP 0:000F1C 0000000000000F1C
62889.00ns INFO [00007861] CR Update: F0=9
63041.00ns INFO [00007880] C0: CP 0:000E8C 0000000000000E8C
63049.00ns INFO [00007881] C0: CP 0:000E90 1:000E94 0000000000000E90
63049.00ns INFO [00007881] GPR Update: R09=000000000000000D
63057.00ns INFO [00007882] C0: CP 0:000E98 1:000E9C 0000000000000E98
63057.00ns INFO [00007882] GPR Update: R09=0000000000000000
63057.00ns INFO [00007882] GPR Update: R10=0000000000000034
63065.00ns INFO [00007883] GPR Update: R09=00000000000013D4
63113.00ns INFO [00007889] C0: CP 0:000EA0 0000000000000EA0
63121.00ns INFO [00007890] GPR Update: R09=00000000FFFFFFFF
63129.00ns INFO [00007891] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
63137.00ns INFO [00007892] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
63137.00ns INFO [00007892] GPR Update: R09=000000000000000D
63145.00ns INFO [00007893] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
63145.00ns INFO [00007893] GPR Update: R09=0000000000000000
63145.00ns INFO [00007893] GPR Update: R10=0000000000000034
63153.00ns INFO [00007894] C0: CP 0:000EBC 0000000000000EBC
63153.00ns INFO [00007894] GPR Update: R09=0000000000001474
63161.00ns INFO [00007895] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
63161.00ns INFO [00007895] GPR Update: R09=00000000FFFFFFFF
63169.00ns INFO [00007896] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
63169.00ns INFO [00007896] GPR Update: R09=0000000000000001
63169.00ns INFO [00007896] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
63169.00ns INFO [00007896] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
63177.00ns INFO [00007897] CR Update: F0=5
63201.00ns INFO [00007900] ...tick...
63201.00ns INFO [00007900] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
63201.00ns INFO [00007900] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
63265.00ns INFO [00007908] C0: CP 0:000ED0 0000000000000ED0
63273.00ns INFO [00007909] GPR Update: R10=00000000FFFFFFFF
63313.00ns INFO [00007914] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
63321.00ns INFO [00007915] GPR Update: R09=00000000FFFFFFFF
63321.00ns INFO [00007915] CR Update: F0=3
63329.00ns INFO [00007916] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
63337.00ns INFO [00007917] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
63337.00ns INFO [00007917] GPR Update: R09=0000000000000001
63377.00ns INFO [00007922] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
63377.00ns INFO [00007922] Mem Update: @0001FFA4 00000001->00000001
63473.00ns INFO [00007934] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
63481.00ns INFO [00007935] GPR Update: R09=0000000000000001
63481.00ns INFO [00007935] CR Update: F0=5
63489.00ns INFO [00007936] C0: CP 0:000EF8 0000000000000EF8
63641.00ns INFO [00007955] C0: CP 0:000F08 1:000F0C 0000000000000F08
63649.00ns INFO [00007956] GPR Update: R09=000000000000000E
63665.00ns INFO [00007958] C0: CP 0:000F10 0000000000000F10
63705.00ns INFO [00007963] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000EFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
63705.00ns INFO [00007963] Mem Update: @0001FFA0 0000000D->0000000E
63713.00ns INFO [00007964] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
63809.00ns INFO [00007976] C0: CP 0:000F14 0000000000000F14
63817.00ns INFO [00007977] C0: CP 0:000F18 0000000000000F18
63817.00ns INFO [00007977] GPR Update: R09=000000000000000E
63825.00ns INFO [00007978] C0: CP 0:000F1C 0000000000000F1C
63825.00ns INFO [00007978] CR Update: F0=9
63977.00ns INFO [00007997] C0: CP 0:000E8C 0000000000000E8C
63985.00ns INFO [00007998] C0: CP 0:000E90 1:000E94 0000000000000E90
63985.00ns INFO [00007998] GPR Update: R09=000000000000000E
63993.00ns INFO [00007999] C0: CP 0:000E98 1:000E9C 0000000000000E98
63993.00ns INFO [00007999] GPR Update: R09=0000000000000000
63993.00ns INFO [00007999] GPR Update: R10=0000000000000038
64001.00ns INFO [00008000] ...tick...
64001.00ns INFO [00008000] GPR Update: R09=00000000000013D8
64049.00ns INFO [00008006] C0: CP 0:000EA0 0000000000000EA0
64057.00ns INFO [00008007] GPR Update: R09=000000008C20BDE6
64065.00ns INFO [00008008] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
64073.00ns INFO [00008009] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
64073.00ns INFO [00008009] GPR Update: R09=000000000000000E
64081.00ns INFO [00008010] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
64081.00ns INFO [00008010] GPR Update: R09=0000000000000000
64081.00ns INFO [00008010] GPR Update: R10=0000000000000038
64089.00ns INFO [00008011] C0: CP 0:000EBC 0000000000000EBC
64089.00ns INFO [00008011] GPR Update: R09=0000000000001478
64097.00ns INFO [00008012] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
64097.00ns INFO [00008012] GPR Update: R09=000000008C20BDE6
64105.00ns INFO [00008013] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
64105.00ns INFO [00008013] GPR Update: R09=0000000000000001
64105.00ns INFO [00008013] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000008C20BDE6FFFFFFFF00000000000000000000000000000000 WIMG:0
64105.00ns INFO [00008013] Mem Update: @0001FFA8 FFFFFFFF->8C20BDE6
64113.00ns INFO [00008014] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000E 00000001 8C20BDE6 FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........Œ ½æÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64113.00ns INFO [00008014] CR Update: F0=5
64137.00ns INFO [00008017] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000008C20BDE600000000000000000000000000000000 WIMG:0
64137.00ns INFO [00008017] Mem Update: @0001FFAC FFFFFFFF->8C20BDE6
64145.00ns INFO [00008018] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000E 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001390 ........Œ ½æŒ ½æ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64201.00ns INFO [00008025] C0: CP 0:000ED0 0000000000000ED0
64209.00ns INFO [00008026] GPR Update: R10=000000008C20BDE6
64249.00ns INFO [00008031] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
64257.00ns INFO [00008032] GPR Update: R09=000000008C20BDE6
64257.00ns INFO [00008032] CR Update: F0=3
64265.00ns INFO [00008033] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
64273.00ns INFO [00008034] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
64273.00ns INFO [00008034] GPR Update: R09=0000000000000001
64313.00ns INFO [00008039] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
64313.00ns INFO [00008039] Mem Update: @0001FFA4 00000001->00000001
64409.00ns INFO [00008051] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
64417.00ns INFO [00008052] GPR Update: R09=0000000000000001
64417.00ns INFO [00008052] CR Update: F0=5
64425.00ns INFO [00008053] C0: CP 0:000EF8 0000000000000EF8
64577.00ns INFO [00008072] C0: CP 0:000F08 1:000F0C 0000000000000F08
64585.00ns INFO [00008073] GPR Update: R09=000000000000000F
64601.00ns INFO [00008075] C0: CP 0:000F10 0000000000000F10
64641.00ns INFO [00008080] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000FFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
64641.00ns INFO [00008080] Mem Update: @0001FFA0 0000000E->0000000F
64649.00ns INFO [00008081] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000F 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001390 ........Œ ½æŒ ½æ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64745.00ns INFO [00008093] C0: CP 0:000F14 0000000000000F14
64753.00ns INFO [00008094] C0: CP 0:000F18 0000000000000F18
64753.00ns INFO [00008094] GPR Update: R09=000000000000000F
64761.00ns INFO [00008095] C0: CP 0:000F1C 0000000000000F1C
64761.00ns INFO [00008095] CR Update: F0=9
64801.00ns INFO [00008100] ...tick...
64913.00ns INFO [00008114] C0: CP 0:000E8C 0000000000000E8C
64921.00ns INFO [00008115] C0: CP 0:000E90 1:000E94 0000000000000E90
64921.00ns INFO [00008115] GPR Update: R09=000000000000000F
64929.00ns INFO [00008116] C0: CP 0:000E98 1:000E9C 0000000000000E98
64929.00ns INFO [00008116] GPR Update: R09=0000000000000000
64929.00ns INFO [00008116] GPR Update: R10=000000000000003C
64937.00ns INFO [00008117] GPR Update: R09=00000000000013DC
64985.00ns INFO [00008123] C0: CP 0:000EA0 0000000000000EA0
64993.00ns INFO [00008124] GPR Update: R09=00000000FFFFFFFF
65001.00ns INFO [00008125] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
65009.00ns INFO [00008126] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
65009.00ns INFO [00008126] GPR Update: R09=000000000000000F
65017.00ns INFO [00008127] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
65017.00ns INFO [00008127] GPR Update: R09=0000000000000000
65017.00ns INFO [00008127] GPR Update: R10=000000000000003C
65025.00ns INFO [00008128] C0: CP 0:000EBC 0000000000000EBC
65025.00ns INFO [00008128] GPR Update: R09=000000000000147C
65033.00ns INFO [00008129] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
65033.00ns INFO [00008129] GPR Update: R09=00000000FFFFFFFF
65041.00ns INFO [00008130] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
65041.00ns INFO [00008130] GPR Update: R09=0000000000000001
65041.00ns INFO [00008130] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
65041.00ns INFO [00008130] Mem Update: @0001FFA8 8C20BDE6->FFFFFFFF
65049.00ns INFO [00008131] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000F 00000001 FFFFFFFF 8C20BDE6 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿŒ ½æ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65049.00ns INFO [00008131] CR Update: F0=5
65073.00ns INFO [00008134] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
65073.00ns INFO [00008134] Mem Update: @0001FFAC 8C20BDE6->FFFFFFFF
65081.00ns INFO [00008135] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000000F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65137.00ns INFO [00008142] C0: CP 0:000ED0 0000000000000ED0
65145.00ns INFO [00008143] GPR Update: R10=00000000FFFFFFFF
65185.00ns INFO [00008148] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
65193.00ns INFO [00008149] GPR Update: R09=00000000FFFFFFFF
65193.00ns INFO [00008149] CR Update: F0=3
65201.00ns INFO [00008150] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
65209.00ns INFO [00008151] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
65209.00ns INFO [00008151] GPR Update: R09=0000000000000001
65249.00ns INFO [00008156] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
65249.00ns INFO [00008156] Mem Update: @0001FFA4 00000001->00000001
65345.00ns INFO [00008168] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
65353.00ns INFO [00008169] GPR Update: R09=0000000000000001
65353.00ns INFO [00008169] CR Update: F0=5
65361.00ns INFO [00008170] C0: CP 0:000EF8 0000000000000EF8
65513.00ns INFO [00008189] C0: CP 0:000F08 1:000F0C 0000000000000F08
65521.00ns INFO [00008190] GPR Update: R09=0000000000000010
65537.00ns INFO [00008192] C0: CP 0:000F10 0000000000000F10
65577.00ns INFO [00008197] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000010FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
65577.00ns INFO [00008197] Mem Update: @0001FFA0 0000000F->00000010
65585.00ns INFO [00008198] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000010 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65601.00ns INFO [00008200] ...tick...
65681.00ns INFO [00008210] C0: CP 0:000F14 0000000000000F14
65689.00ns INFO [00008211] C0: CP 0:000F18 0000000000000F18
65689.00ns INFO [00008211] GPR Update: R09=0000000000000010
65697.00ns INFO [00008212] C0: CP 0:000F1C 0000000000000F1C
65697.00ns INFO [00008212] CR Update: F0=9
65849.00ns INFO [00008231] C0: CP 0:000E8C 0000000000000E8C
65857.00ns INFO [00008232] C0: CP 0:000E90 1:000E94 0000000000000E90
65857.00ns INFO [00008232] GPR Update: R09=0000000000000010
65865.00ns INFO [00008233] C0: CP 0:000E98 1:000E9C 0000000000000E98
65865.00ns INFO [00008233] GPR Update: R09=0000000000000000
65865.00ns INFO [00008233] GPR Update: R10=0000000000000040
65873.00ns INFO [00008234] GPR Update: R09=00000000000013E0
65913.00ns INFO [00008239] T0 LOAD 00001480 tag=00 len=4 WIMG:0 reld data:8245
65921.00ns INFO [00008240] C0: CP 0:000EA0 0000000000000EA0
65929.00ns INFO [00008241] GPR Update: R09=0000000008AEBF68
65937.00ns INFO [00008242] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
65945.00ns INFO [00008243] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
65945.00ns INFO [00008243] GPR Update: R09=0000000000000010
65953.00ns INFO [00008244] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
65953.00ns INFO [00008244] GPR Update: R09=0000000000000000
65953.00ns INFO [00008244] GPR Update: R10=0000000000000040
65961.00ns INFO [00008245] GPR Update: R09=0000000000001480
65961.00ns INFO [00008245] RELD tag=00 08AEBF6880000001FFFFFFFF00000000 1of4 crit
65969.00ns INFO [00008246] RELD tag=00 FFFFFFFFFFFFFFFF328A0CEDFFFFFFFF 2of4
65977.00ns INFO [00008247] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000008AEBF68FFFFFFFF00000000000000000000000000000000 WIMG:0
65977.00ns INFO [00008247] Mem Update: @0001FFA8 FFFFFFFF->08AEBF68
65977.00ns INFO [00008247] RELD tag=00 FFFFFFFFAF224C19FFFFFFFFFFFFFFFF 3of4
65985.00ns INFO [00008248] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000010 00000001 08AEBF68 FFFFFFFF 08675309 00000000 0001FFF8 00001390 .........®¿hÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
65985.00ns INFO [00008248] RELD tag=00 D624B27AFFFFFFFFFFFFFFFFFFFFFFFF 4of4
66025.00ns INFO [00008253] C0: CP 0:000EBC 0000000000000EBC
66033.00ns INFO [00008254] GPR Update: R09=0000000008AEBF68
66057.00ns INFO [00008257] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
66065.00ns INFO [00008258] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
66065.00ns INFO [00008258] GPR Update: R09=0000000000000001
66073.00ns INFO [00008259] C0: CP 0:000ED0 0000000000000ED0
66073.00ns INFO [00008259] CR Update: F0=5
66081.00ns INFO [00008260] GPR Update: R10=0000000008AEBF68
66097.00ns INFO [00008262] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF0000000008AEBF6800000000000000000000000000000000 WIMG:0
66097.00ns INFO [00008262] Mem Update: @0001FFAC FFFFFFFF->08AEBF68
66105.00ns INFO [00008263] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000010 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001390 .........®¿h.®¿h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66209.00ns INFO [00008276] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
66217.00ns INFO [00008277] GPR Update: R09=0000000008AEBF68
66217.00ns INFO [00008277] CR Update: F0=3
66225.00ns INFO [00008278] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
66233.00ns INFO [00008279] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
66233.00ns INFO [00008279] GPR Update: R09=0000000000000001
66273.00ns INFO [00008284] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
66273.00ns INFO [00008284] Mem Update: @0001FFA4 00000001->00000001
66369.00ns INFO [00008296] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
66377.00ns INFO [00008297] GPR Update: R09=0000000000000001
66377.00ns INFO [00008297] CR Update: F0=5
66385.00ns INFO [00008298] C0: CP 0:000EF8 0000000000000EF8
66401.00ns INFO [00008300] ...tick...
66537.00ns INFO [00008317] C0: CP 0:000F08 1:000F0C 0000000000000F08
66545.00ns INFO [00008318] GPR Update: R09=0000000000000011
66561.00ns INFO [00008320] C0: CP 0:000F10 0000000000000F10
66601.00ns INFO [00008325] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000011D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
66601.00ns INFO [00008325] Mem Update: @0001FFA0 00000010->00000011
66609.00ns INFO [00008326] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000011 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001390 .........®¿h.®¿h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66705.00ns INFO [00008338] C0: CP 0:000F14 0000000000000F14
66713.00ns INFO [00008339] C0: CP 0:000F18 0000000000000F18
66713.00ns INFO [00008339] GPR Update: R09=0000000000000011
66721.00ns INFO [00008340] C0: CP 0:000F1C 0000000000000F1C
66721.00ns INFO [00008340] CR Update: F0=9
66873.00ns INFO [00008359] C0: CP 0:000E8C 0000000000000E8C
66881.00ns INFO [00008360] C0: CP 0:000E90 1:000E94 0000000000000E90
66881.00ns INFO [00008360] GPR Update: R09=0000000000000011
66889.00ns INFO [00008361] C0: CP 0:000E98 1:000E9C 0000000000000E98
66889.00ns INFO [00008361] GPR Update: R09=0000000000000000
66889.00ns INFO [00008361] GPR Update: R10=0000000000000044
66897.00ns INFO [00008362] GPR Update: R09=00000000000013E4
66945.00ns INFO [00008368] C0: CP 0:000EA0 0000000000000EA0
66953.00ns INFO [00008369] GPR Update: R09=0000000080000001
66961.00ns INFO [00008370] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
66969.00ns INFO [00008371] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
66969.00ns INFO [00008371] GPR Update: R09=0000000000000011
66977.00ns INFO [00008372] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
66977.00ns INFO [00008372] GPR Update: R09=0000000000000000
66977.00ns INFO [00008372] GPR Update: R10=0000000000000044
66985.00ns INFO [00008373] C0: CP 0:000EBC 0000000000000EBC
66985.00ns INFO [00008373] GPR Update: R09=0000000000001484
66993.00ns INFO [00008374] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
66993.00ns INFO [00008374] GPR Update: R09=0000000080000001
67001.00ns INFO [00008375] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
67001.00ns INFO [00008375] GPR Update: R09=0000000000000001
67001.00ns INFO [00008375] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000080000001D624B27A00000000000000000000000000000000 WIMG:0
67001.00ns INFO [00008375] Mem Update: @0001FFA8 08AEBF68->80000001
67009.00ns INFO [00008376] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000011 00000001 80000001 08AEBF68 08675309 00000000 0001FFF8 00001390 ........€....®¿h.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67009.00ns INFO [00008376] CR Update: F0=5
67033.00ns INFO [00008379] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF000000008000000100000000000000000000000000000000 WIMG:0
67033.00ns INFO [00008379] Mem Update: @0001FFAC 08AEBF68->80000001
67041.00ns INFO [00008380] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000011 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001390 ........€...€....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67097.00ns INFO [00008387] C0: CP 0:000ED0 0000000000000ED0
67105.00ns INFO [00008388] GPR Update: R10=0000000080000001
67145.00ns INFO [00008393] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
67153.00ns INFO [00008394] GPR Update: R09=0000000080000001
67153.00ns INFO [00008394] CR Update: F0=3
67161.00ns INFO [00008395] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
67169.00ns INFO [00008396] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
67169.00ns INFO [00008396] GPR Update: R09=0000000000000001
67201.00ns INFO [00008400] ...tick...
67209.00ns INFO [00008401] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
67209.00ns INFO [00008401] Mem Update: @0001FFA4 00000001->00000001
67305.00ns INFO [00008413] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
67313.00ns INFO [00008414] GPR Update: R09=0000000000000001
67313.00ns INFO [00008414] CR Update: F0=5
67321.00ns INFO [00008415] C0: CP 0:000EF8 0000000000000EF8
67473.00ns INFO [00008434] C0: CP 0:000F08 1:000F0C 0000000000000F08
67481.00ns INFO [00008435] GPR Update: R09=0000000000000012
67497.00ns INFO [00008437] C0: CP 0:000F10 0000000000000F10
67537.00ns INFO [00008442] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000012D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
67537.00ns INFO [00008442] Mem Update: @0001FFA0 00000011->00000012
67545.00ns INFO [00008443] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000012 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001390 ........€...€....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67641.00ns INFO [00008455] C0: CP 0:000F14 0000000000000F14
67649.00ns INFO [00008456] C0: CP 0:000F18 0000000000000F18
67649.00ns INFO [00008456] GPR Update: R09=0000000000000012
67657.00ns INFO [00008457] C0: CP 0:000F1C 0000000000000F1C
67657.00ns INFO [00008457] CR Update: F0=9
67809.00ns INFO [00008476] C0: CP 0:000E8C 0000000000000E8C
67817.00ns INFO [00008477] C0: CP 0:000E90 1:000E94 0000000000000E90
67817.00ns INFO [00008477] GPR Update: R09=0000000000000012
67825.00ns INFO [00008478] C0: CP 0:000E98 1:000E9C 0000000000000E98
67825.00ns INFO [00008478] GPR Update: R09=0000000000000000
67825.00ns INFO [00008478] GPR Update: R10=0000000000000048
67833.00ns INFO [00008479] GPR Update: R09=00000000000013E8
67881.00ns INFO [00008485] C0: CP 0:000EA0 0000000000000EA0
67889.00ns INFO [00008486] GPR Update: R09=00000000FFFFFFFF
67897.00ns INFO [00008487] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
67905.00ns INFO [00008488] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
67905.00ns INFO [00008488] GPR Update: R09=0000000000000012
67913.00ns INFO [00008489] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
67913.00ns INFO [00008489] GPR Update: R09=0000000000000000
67913.00ns INFO [00008489] GPR Update: R10=0000000000000048
67921.00ns INFO [00008490] C0: CP 0:000EBC 0000000000000EBC
67921.00ns INFO [00008490] GPR Update: R09=0000000000001488
67929.00ns INFO [00008491] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
67929.00ns INFO [00008491] GPR Update: R09=00000000FFFFFFFF
67937.00ns INFO [00008492] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
67937.00ns INFO [00008492] GPR Update: R09=0000000000000001
67937.00ns INFO [00008492] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
67937.00ns INFO [00008492] Mem Update: @0001FFA8 80000001->FFFFFFFF
67945.00ns INFO [00008493] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000012 00000001 FFFFFFFF 80000001 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ€....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
67945.00ns INFO [00008493] CR Update: F0=5
67969.00ns INFO [00008496] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
67969.00ns INFO [00008496] Mem Update: @0001FFAC 80000001->FFFFFFFF
67977.00ns INFO [00008497] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000012 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68001.00ns INFO [00008500] ...tick...
68033.00ns INFO [00008504] C0: CP 0:000ED0 0000000000000ED0
68041.00ns INFO [00008505] GPR Update: R10=00000000FFFFFFFF
68081.00ns INFO [00008510] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
68089.00ns INFO [00008511] GPR Update: R09=00000000FFFFFFFF
68089.00ns INFO [00008511] CR Update: F0=3
68097.00ns INFO [00008512] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
68105.00ns INFO [00008513] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
68105.00ns INFO [00008513] GPR Update: R09=0000000000000001
68145.00ns INFO [00008518] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
68145.00ns INFO [00008518] Mem Update: @0001FFA4 00000001->00000001
68241.00ns INFO [00008530] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
68249.00ns INFO [00008531] GPR Update: R09=0000000000000001
68249.00ns INFO [00008531] CR Update: F0=5
68257.00ns INFO [00008532] C0: CP 0:000EF8 0000000000000EF8
68409.00ns INFO [00008551] C0: CP 0:000F08 1:000F0C 0000000000000F08
68417.00ns INFO [00008552] GPR Update: R09=0000000000000013
68433.00ns INFO [00008554] C0: CP 0:000F10 0000000000000F10
68473.00ns INFO [00008559] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000013D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
68473.00ns INFO [00008559] Mem Update: @0001FFA0 00000012->00000013
68481.00ns INFO [00008560] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000013 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68577.00ns INFO [00008572] C0: CP 0:000F14 0000000000000F14
68585.00ns INFO [00008573] C0: CP 0:000F18 0000000000000F18
68585.00ns INFO [00008573] GPR Update: R09=0000000000000013
68593.00ns INFO [00008574] C0: CP 0:000F1C 0000000000000F1C
68593.00ns INFO [00008574] CR Update: F0=9
68745.00ns INFO [00008593] C0: CP 0:000E8C 0000000000000E8C
68753.00ns INFO [00008594] C0: CP 0:000E90 1:000E94 0000000000000E90
68753.00ns INFO [00008594] GPR Update: R09=0000000000000013
68761.00ns INFO [00008595] C0: CP 0:000E98 1:000E9C 0000000000000E98
68761.00ns INFO [00008595] GPR Update: R09=0000000000000000
68761.00ns INFO [00008595] GPR Update: R10=000000000000004C
68769.00ns INFO [00008596] GPR Update: R09=00000000000013EC
68801.00ns INFO [00008600] ...tick...
68817.00ns INFO [00008602] C0: CP 0:000EA0 0000000000000EA0
68825.00ns INFO [00008603] GPR Update: R09=0000000000000000
68833.00ns INFO [00008604] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
68841.00ns INFO [00008605] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
68841.00ns INFO [00008605] GPR Update: R09=0000000000000013
68849.00ns INFO [00008606] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
68849.00ns INFO [00008606] GPR Update: R09=0000000000000000
68849.00ns INFO [00008606] GPR Update: R10=000000000000004C
68857.00ns INFO [00008607] C0: CP 0:000EBC 0000000000000EBC
68857.00ns INFO [00008607] GPR Update: R09=000000000000148C
68865.00ns INFO [00008608] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
68865.00ns INFO [00008608] GPR Update: R09=0000000000000000
68873.00ns INFO [00008609] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
68873.00ns INFO [00008609] GPR Update: R09=0000000000000001
68873.00ns INFO [00008609] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000D624B27A00000000000000000000000000000000 WIMG:0
68873.00ns INFO [00008609] Mem Update: @0001FFA8 FFFFFFFF->00000000
68881.00ns INFO [00008610] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000013 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001390 ............ÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68881.00ns INFO [00008610] CR Update: F0=5
68905.00ns INFO [00008613] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
68905.00ns INFO [00008613] Mem Update: @0001FFAC FFFFFFFF->00000000
68913.00ns INFO [00008614] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000013 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
68969.00ns INFO [00008621] C0: CP 0:000ED0 0000000000000ED0
68977.00ns INFO [00008622] GPR Update: R10=0000000000000000
69017.00ns INFO [00008627] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
69025.00ns INFO [00008628] GPR Update: R09=0000000000000000
69025.00ns INFO [00008628] CR Update: F0=3
69033.00ns INFO [00008629] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
69041.00ns INFO [00008630] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
69041.00ns INFO [00008630] GPR Update: R09=0000000000000001
69081.00ns INFO [00008635] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
69081.00ns INFO [00008635] Mem Update: @0001FFA4 00000001->00000001
69177.00ns INFO [00008647] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
69185.00ns INFO [00008648] GPR Update: R09=0000000000000001
69185.00ns INFO [00008648] CR Update: F0=5
69193.00ns INFO [00008649] C0: CP 0:000EF8 0000000000000EF8
69345.00ns INFO [00008668] C0: CP 0:000F08 1:000F0C 0000000000000F08
69353.00ns INFO [00008669] GPR Update: R09=0000000000000014
69369.00ns INFO [00008671] C0: CP 0:000F10 0000000000000F10
69409.00ns INFO [00008676] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000014D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
69409.00ns INFO [00008676] Mem Update: @0001FFA0 00000013->00000014
69417.00ns INFO [00008677] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000014 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001390 .................gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69513.00ns INFO [00008689] C0: CP 0:000F14 0000000000000F14
69521.00ns INFO [00008690] C0: CP 0:000F18 0000000000000F18
69521.00ns INFO [00008690] GPR Update: R09=0000000000000014
69529.00ns INFO [00008691] C0: CP 0:000F1C 0000000000000F1C
69529.00ns INFO [00008691] CR Update: F0=9
69601.00ns INFO [00008700] ...tick...
69681.00ns INFO [00008710] C0: CP 0:000E8C 0000000000000E8C
69689.00ns INFO [00008711] C0: CP 0:000E90 1:000E94 0000000000000E90
69689.00ns INFO [00008711] GPR Update: R09=0000000000000014
69697.00ns INFO [00008712] C0: CP 0:000E98 1:000E9C 0000000000000E98
69697.00ns INFO [00008712] GPR Update: R09=0000000000000000
69697.00ns INFO [00008712] GPR Update: R10=0000000000000050
69705.00ns INFO [00008713] GPR Update: R09=00000000000013F0
69753.00ns INFO [00008719] C0: CP 0:000EA0 0000000000000EA0
69761.00ns INFO [00008720] GPR Update: R09=00000000FFFFFFFF
69769.00ns INFO [00008721] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
69777.00ns INFO [00008722] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
69777.00ns INFO [00008722] GPR Update: R09=0000000000000014
69785.00ns INFO [00008723] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
69785.00ns INFO [00008723] GPR Update: R09=0000000000000000
69785.00ns INFO [00008723] GPR Update: R10=0000000000000050
69793.00ns INFO [00008724] C0: CP 0:000EBC 0000000000000EBC
69793.00ns INFO [00008724] GPR Update: R09=0000000000001490
69801.00ns INFO [00008725] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
69801.00ns INFO [00008725] GPR Update: R09=00000000FFFFFFFF
69809.00ns INFO [00008726] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
69809.00ns INFO [00008726] GPR Update: R09=0000000000000001
69809.00ns INFO [00008726] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
69809.00ns INFO [00008726] Mem Update: @0001FFA8 00000000->FFFFFFFF
69817.00ns INFO [00008727] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000014 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ.....gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69817.00ns INFO [00008727] CR Update: F0=5
69841.00ns INFO [00008730] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
69841.00ns INFO [00008730] Mem Update: @0001FFAC 00000000->FFFFFFFF
69849.00ns INFO [00008731] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000014 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
69905.00ns INFO [00008738] C0: CP 0:000ED0 0000000000000ED0
69913.00ns INFO [00008739] GPR Update: R10=00000000FFFFFFFF
69953.00ns INFO [00008744] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
69961.00ns INFO [00008745] GPR Update: R09=00000000FFFFFFFF
69961.00ns INFO [00008745] CR Update: F0=3
69969.00ns INFO [00008746] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
69977.00ns INFO [00008747] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
69977.00ns INFO [00008747] GPR Update: R09=0000000000000001
70017.00ns INFO [00008752] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
70017.00ns INFO [00008752] Mem Update: @0001FFA4 00000001->00000001
70113.00ns INFO [00008764] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
70121.00ns INFO [00008765] GPR Update: R09=0000000000000001
70121.00ns INFO [00008765] CR Update: F0=5
70129.00ns INFO [00008766] C0: CP 0:000EF8 0000000000000EF8
70281.00ns INFO [00008785] C0: CP 0:000F08 1:000F0C 0000000000000F08
70289.00ns INFO [00008786] GPR Update: R09=0000000000000015
70305.00ns INFO [00008788] C0: CP 0:000F10 0000000000000F10
70345.00ns INFO [00008793] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000015D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
70345.00ns INFO [00008793] Mem Update: @0001FFA0 00000014->00000015
70353.00ns INFO [00008794] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000015 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
70401.00ns INFO [00008800] ...tick...
70449.00ns INFO [00008806] C0: CP 0:000F14 0000000000000F14
70457.00ns INFO [00008807] C0: CP 0:000F18 0000000000000F18
70457.00ns INFO [00008807] GPR Update: R09=0000000000000015
70465.00ns INFO [00008808] C0: CP 0:000F1C 0000000000000F1C
70465.00ns INFO [00008808] CR Update: F0=9
70617.00ns INFO [00008827] C0: CP 0:000E8C 0000000000000E8C
70625.00ns INFO [00008828] C0: CP 0:000E90 1:000E94 0000000000000E90
70625.00ns INFO [00008828] GPR Update: R09=0000000000000015
70633.00ns INFO [00008829] C0: CP 0:000E98 1:000E9C 0000000000000E98
70633.00ns INFO [00008829] GPR Update: R09=0000000000000000
70633.00ns INFO [00008829] GPR Update: R10=0000000000000054
70641.00ns INFO [00008830] GPR Update: R09=00000000000013F4
70689.00ns INFO [00008836] C0: CP 0:000EA0 0000000000000EA0
70697.00ns INFO [00008837] GPR Update: R09=00000000FFFFFFFF
70705.00ns INFO [00008838] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
70713.00ns INFO [00008839] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
70713.00ns INFO [00008839] GPR Update: R09=0000000000000015
70721.00ns INFO [00008840] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
70721.00ns INFO [00008840] GPR Update: R09=0000000000000000
70721.00ns INFO [00008840] GPR Update: R10=0000000000000054
70729.00ns INFO [00008841] C0: CP 0:000EBC 0000000000000EBC
70729.00ns INFO [00008841] GPR Update: R09=0000000000001494
70737.00ns INFO [00008842] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
70737.00ns INFO [00008842] GPR Update: R09=00000000FFFFFFFF
70745.00ns INFO [00008843] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
70745.00ns INFO [00008843] GPR Update: R09=0000000000000001
70745.00ns INFO [00008843] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
70745.00ns INFO [00008843] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
70753.00ns INFO [00008844] CR Update: F0=5
70777.00ns INFO [00008847] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
70777.00ns INFO [00008847] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
70841.00ns INFO [00008855] C0: CP 0:000ED0 0000000000000ED0
70849.00ns INFO [00008856] GPR Update: R10=00000000FFFFFFFF
70889.00ns INFO [00008861] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
70897.00ns INFO [00008862] GPR Update: R09=00000000FFFFFFFF
70897.00ns INFO [00008862] CR Update: F0=3
70905.00ns INFO [00008863] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
70913.00ns INFO [00008864] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
70913.00ns INFO [00008864] GPR Update: R09=0000000000000001
70953.00ns INFO [00008869] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
70953.00ns INFO [00008869] Mem Update: @0001FFA4 00000001->00000001
71049.00ns INFO [00008881] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
71057.00ns INFO [00008882] GPR Update: R09=0000000000000001
71057.00ns INFO [00008882] CR Update: F0=5
71065.00ns INFO [00008883] C0: CP 0:000EF8 0000000000000EF8
71201.00ns INFO [00008900] ...tick...
71217.00ns INFO [00008902] C0: CP 0:000F08 1:000F0C 0000000000000F08
71225.00ns INFO [00008903] GPR Update: R09=0000000000000016
71241.00ns INFO [00008905] C0: CP 0:000F10 0000000000000F10
71281.00ns INFO [00008910] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000016D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
71281.00ns INFO [00008910] Mem Update: @0001FFA0 00000015->00000016
71289.00ns INFO [00008911] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000016 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71385.00ns INFO [00008923] C0: CP 0:000F14 0000000000000F14
71393.00ns INFO [00008924] C0: CP 0:000F18 0000000000000F18
71393.00ns INFO [00008924] GPR Update: R09=0000000000000016
71401.00ns INFO [00008925] C0: CP 0:000F1C 0000000000000F1C
71401.00ns INFO [00008925] CR Update: F0=9
71553.00ns INFO [00008944] C0: CP 0:000E8C 0000000000000E8C
71561.00ns INFO [00008945] C0: CP 0:000E90 1:000E94 0000000000000E90
71561.00ns INFO [00008945] GPR Update: R09=0000000000000016
71569.00ns INFO [00008946] C0: CP 0:000E98 1:000E9C 0000000000000E98
71569.00ns INFO [00008946] GPR Update: R09=0000000000000000
71569.00ns INFO [00008946] GPR Update: R10=0000000000000058
71577.00ns INFO [00008947] GPR Update: R09=00000000000013F8
71625.00ns INFO [00008953] C0: CP 0:000EA0 0000000000000EA0
71633.00ns INFO [00008954] GPR Update: R09=00000000328A0CED
71641.00ns INFO [00008955] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
71649.00ns INFO [00008956] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
71649.00ns INFO [00008956] GPR Update: R09=0000000000000016
71657.00ns INFO [00008957] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
71657.00ns INFO [00008957] GPR Update: R09=0000000000000000
71657.00ns INFO [00008957] GPR Update: R10=0000000000000058
71665.00ns INFO [00008958] C0: CP 0:000EBC 0000000000000EBC
71665.00ns INFO [00008958] GPR Update: R09=0000000000001498
71673.00ns INFO [00008959] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
71673.00ns INFO [00008959] GPR Update: R09=00000000328A0CED
71681.00ns INFO [00008960] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
71681.00ns INFO [00008960] GPR Update: R09=0000000000000001
71681.00ns INFO [00008960] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000328A0CEDD624B27A00000000000000000000000000000000 WIMG:0
71681.00ns INFO [00008960] Mem Update: @0001FFA8 FFFFFFFF->328A0CED
71689.00ns INFO [00008961] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000016 00000001 328A0CED FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........2Š.íÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71689.00ns INFO [00008961] CR Update: F0=5
71713.00ns INFO [00008964] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000328A0CED00000000000000000000000000000000 WIMG:0
71713.00ns INFO [00008964] Mem Update: @0001FFAC FFFFFFFF->328A0CED
71721.00ns INFO [00008965] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000016 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001390 ........2Š.í2Š.í.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
71777.00ns INFO [00008972] C0: CP 0:000ED0 0000000000000ED0
71785.00ns INFO [00008973] GPR Update: R10=00000000328A0CED
71825.00ns INFO [00008978] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
71833.00ns INFO [00008979] GPR Update: R09=00000000328A0CED
71833.00ns INFO [00008979] CR Update: F0=3
71841.00ns INFO [00008980] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
71849.00ns INFO [00008981] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
71849.00ns INFO [00008981] GPR Update: R09=0000000000000001
71889.00ns INFO [00008986] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
71889.00ns INFO [00008986] Mem Update: @0001FFA4 00000001->00000001
71985.00ns INFO [00008998] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
71993.00ns INFO [00008999] GPR Update: R09=0000000000000001
71993.00ns INFO [00008999] CR Update: F0=5
72001.00ns INFO [00009000] ...tick...
72001.00ns INFO [00009000] C0: CP 0:000EF8 0000000000000EF8
72153.00ns INFO [00009019] C0: CP 0:000F08 1:000F0C 0000000000000F08
72161.00ns INFO [00009020] GPR Update: R09=0000000000000017
72177.00ns INFO [00009022] C0: CP 0:000F10 0000000000000F10
72217.00ns INFO [00009027] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000017D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
72217.00ns INFO [00009027] Mem Update: @0001FFA0 00000016->00000017
72225.00ns INFO [00009028] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000017 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001390 ........2Š.í2Š.í.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72321.00ns INFO [00009040] C0: CP 0:000F14 0000000000000F14
72329.00ns INFO [00009041] C0: CP 0:000F18 0000000000000F18
72329.00ns INFO [00009041] GPR Update: R09=0000000000000017
72337.00ns INFO [00009042] C0: CP 0:000F1C 0000000000000F1C
72337.00ns INFO [00009042] CR Update: F0=9
72489.00ns INFO [00009061] C0: CP 0:000E8C 0000000000000E8C
72497.00ns INFO [00009062] C0: CP 0:000E90 1:000E94 0000000000000E90
72497.00ns INFO [00009062] GPR Update: R09=0000000000000017
72505.00ns INFO [00009063] C0: CP 0:000E98 1:000E9C 0000000000000E98
72505.00ns INFO [00009063] GPR Update: R09=0000000000000000
72505.00ns INFO [00009063] GPR Update: R10=000000000000005C
72513.00ns INFO [00009064] GPR Update: R09=00000000000013FC
72561.00ns INFO [00009070] C0: CP 0:000EA0 0000000000000EA0
72569.00ns INFO [00009071] GPR Update: R09=00000000FFFFFFFF
72577.00ns INFO [00009072] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
72585.00ns INFO [00009073] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
72585.00ns INFO [00009073] GPR Update: R09=0000000000000017
72593.00ns INFO [00009074] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
72593.00ns INFO [00009074] GPR Update: R09=0000000000000000
72593.00ns INFO [00009074] GPR Update: R10=000000000000005C
72601.00ns INFO [00009075] C0: CP 0:000EBC 0000000000000EBC
72601.00ns INFO [00009075] GPR Update: R09=000000000000149C
72609.00ns INFO [00009076] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
72609.00ns INFO [00009076] GPR Update: R09=00000000FFFFFFFF
72617.00ns INFO [00009077] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
72617.00ns INFO [00009077] GPR Update: R09=0000000000000001
72617.00ns INFO [00009077] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
72617.00ns INFO [00009077] Mem Update: @0001FFA8 328A0CED->FFFFFFFF
72625.00ns INFO [00009078] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000017 00000001 FFFFFFFF 328A0CED 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ2Š.í.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72625.00ns INFO [00009078] CR Update: F0=5
72649.00ns INFO [00009081] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
72649.00ns INFO [00009081] Mem Update: @0001FFAC 328A0CED->FFFFFFFF
72657.00ns INFO [00009082] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000017 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
72713.00ns INFO [00009089] C0: CP 0:000ED0 0000000000000ED0
72721.00ns INFO [00009090] GPR Update: R10=00000000FFFFFFFF
72761.00ns INFO [00009095] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
72769.00ns INFO [00009096] GPR Update: R09=00000000FFFFFFFF
72769.00ns INFO [00009096] CR Update: F0=3
72777.00ns INFO [00009097] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
72785.00ns INFO [00009098] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
72785.00ns INFO [00009098] GPR Update: R09=0000000000000001
72801.00ns INFO [00009100] ...tick...
72825.00ns INFO [00009103] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
72825.00ns INFO [00009103] Mem Update: @0001FFA4 00000001->00000001
72921.00ns INFO [00009115] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
72929.00ns INFO [00009116] GPR Update: R09=0000000000000001
72929.00ns INFO [00009116] CR Update: F0=5
72937.00ns INFO [00009117] C0: CP 0:000EF8 0000000000000EF8
73089.00ns INFO [00009136] C0: CP 0:000F08 1:000F0C 0000000000000F08
73097.00ns INFO [00009137] GPR Update: R09=0000000000000018
73113.00ns INFO [00009139] C0: CP 0:000F10 0000000000000F10
73153.00ns INFO [00009144] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000018D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
73153.00ns INFO [00009144] Mem Update: @0001FFA0 00000017->00000018
73161.00ns INFO [00009145] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000018 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
73257.00ns INFO [00009157] C0: CP 0:000F14 0000000000000F14
73265.00ns INFO [00009158] C0: CP 0:000F18 0000000000000F18
73265.00ns INFO [00009158] GPR Update: R09=0000000000000018
73273.00ns INFO [00009159] C0: CP 0:000F1C 0000000000000F1C
73273.00ns INFO [00009159] CR Update: F0=9
73425.00ns INFO [00009178] C0: CP 0:000E8C 0000000000000E8C
73433.00ns INFO [00009179] C0: CP 0:000E90 1:000E94 0000000000000E90
73433.00ns INFO [00009179] GPR Update: R09=0000000000000018
73441.00ns INFO [00009180] C0: CP 0:000E98 1:000E9C 0000000000000E98
73441.00ns INFO [00009180] GPR Update: R09=0000000000000000
73441.00ns INFO [00009180] GPR Update: R10=0000000000000060
73449.00ns INFO [00009181] GPR Update: R09=0000000000001400
73457.00ns INFO [00009182] T0 LOAD 00001400 tag=00 len=4 WIMG:0 reld data:9188
73505.00ns INFO [00009188] RELD tag=00 FFFFFFFFAF224C19FFFFFFFFFFFFFFFF 1of4 crit
73513.00ns INFO [00009189] RELD tag=00 D624B27AFFFFFFFFFFFFFFFFFFFFFFFF 2of4
73521.00ns INFO [00009190] RELD tag=00 9BFD36288000006EFFFFFFFFFFFFFFFF 3of4
73529.00ns INFO [00009191] RELD tag=00 CD75F313600000006000000060000000 4of4
73569.00ns INFO [00009196] C0: CP 0:000EA0 0000000000000EA0
73577.00ns INFO [00009197] GPR Update: R09=00000000FFFFFFFF
73601.00ns INFO [00009200] ...tick...
73601.00ns INFO [00009200] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
73609.00ns INFO [00009201] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
73609.00ns INFO [00009201] GPR Update: R09=0000000000000018
73617.00ns INFO [00009202] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
73617.00ns INFO [00009202] GPR Update: R09=0000000000000000
73617.00ns INFO [00009202] GPR Update: R10=0000000000000060
73625.00ns INFO [00009203] C0: CP 0:000EBC 1:000EC0 0000000000000EBC
73625.00ns INFO [00009203] GPR Update: R09=00000000000014A0
73633.00ns INFO [00009204] C0: CP 0:000EC4 1:000EC8 0000000000000EC4
73633.00ns INFO [00009204] GPR Update: R09=00000000FFFFFFFF
73641.00ns INFO [00009205] C0: CP 0:000ECC 0000000000000ECC
73641.00ns INFO [00009205] GPR Update: R09=0000000000000001
73641.00ns INFO [00009205] CR Update: F0=5
73641.00ns INFO [00009205] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
73641.00ns INFO [00009205] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
73665.00ns INFO [00009208] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
73665.00ns INFO [00009208] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
73745.00ns INFO [00009218] C0: CP 0:000ED0 0000000000000ED0
73753.00ns INFO [00009219] GPR Update: R10=00000000FFFFFFFF
73761.00ns INFO [00009220] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
73769.00ns INFO [00009221] GPR Update: R09=00000000FFFFFFFF
73769.00ns INFO [00009221] CR Update: F0=3
73777.00ns INFO [00009222] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
73785.00ns INFO [00009223] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
73785.00ns INFO [00009223] GPR Update: R09=0000000000000001
73825.00ns INFO [00009228] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
73825.00ns INFO [00009228] Mem Update: @0001FFA4 00000001->00000001
73921.00ns INFO [00009240] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
73929.00ns INFO [00009241] GPR Update: R09=0000000000000001
73929.00ns INFO [00009241] CR Update: F0=5
73937.00ns INFO [00009242] C0: CP 0:000EF8 0000000000000EF8
74089.00ns INFO [00009261] C0: CP 0:000F08 1:000F0C 0000000000000F08
74097.00ns INFO [00009262] GPR Update: R09=0000000000000019
74113.00ns INFO [00009264] C0: CP 0:000F10 0000000000000F10
74153.00ns INFO [00009269] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000019CD75F313600000000000000000000000000000000000000000000000 WIMG:0
74153.00ns INFO [00009269] Mem Update: @0001FFA0 00000018->00000019
74161.00ns INFO [00009270] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000019 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
74257.00ns INFO [00009282] C0: CP 0:000F14 0000000000000F14
74265.00ns INFO [00009283] C0: CP 0:000F18 0000000000000F18
74265.00ns INFO [00009283] GPR Update: R09=0000000000000019
74273.00ns INFO [00009284] C0: CP 0:000F1C 0000000000000F1C
74273.00ns INFO [00009284] CR Update: F0=9
74401.00ns INFO [00009300] ...tick...
74425.00ns INFO [00009303] C0: CP 0:000E8C 0000000000000E8C
74433.00ns INFO [00009304] C0: CP 0:000E90 1:000E94 0000000000000E90
74433.00ns INFO [00009304] GPR Update: R09=0000000000000019
74441.00ns INFO [00009305] C0: CP 0:000E98 1:000E9C 0000000000000E98
74441.00ns INFO [00009305] GPR Update: R09=0000000000000000
74441.00ns INFO [00009305] GPR Update: R10=0000000000000064
74449.00ns INFO [00009306] GPR Update: R09=0000000000001404
74497.00ns INFO [00009312] C0: CP 0:000EA0 0000000000000EA0
74505.00ns INFO [00009313] GPR Update: R09=00000000AF224C19
74513.00ns INFO [00009314] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
74521.00ns INFO [00009315] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
74521.00ns INFO [00009315] GPR Update: R09=0000000000000019
74529.00ns INFO [00009316] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
74529.00ns INFO [00009316] GPR Update: R09=0000000000000000
74529.00ns INFO [00009316] GPR Update: R10=0000000000000064
74537.00ns INFO [00009317] C0: CP 0:000EBC 0000000000000EBC
74537.00ns INFO [00009317] GPR Update: R09=00000000000014A4
74545.00ns INFO [00009318] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
74545.00ns INFO [00009318] GPR Update: R09=00000000AF224C19
74553.00ns INFO [00009319] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
74553.00ns INFO [00009319] GPR Update: R09=0000000000000001
74553.00ns INFO [00009319] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000AF224C19CD75F31300000000000000000000000000000000 WIMG:0
74553.00ns INFO [00009319] Mem Update: @0001FFA8 FFFFFFFF->AF224C19
74561.00ns INFO [00009320] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000019 00000001 AF224C19 FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........¯"L.ÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
74561.00ns INFO [00009320] CR Update: F0=5
74585.00ns INFO [00009323] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000AF224C1900000000000000000000000000000000 WIMG:0
74585.00ns INFO [00009323] Mem Update: @0001FFAC FFFFFFFF->AF224C19
74593.00ns INFO [00009324] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000019 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001390 ........¯"L.¯"L..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
74649.00ns INFO [00009331] C0: CP 0:000ED0 0000000000000ED0
74657.00ns INFO [00009332] GPR Update: R10=00000000AF224C19
74697.00ns INFO [00009337] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
74705.00ns INFO [00009338] GPR Update: R09=00000000AF224C19
74705.00ns INFO [00009338] CR Update: F0=3
74713.00ns INFO [00009339] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
74721.00ns INFO [00009340] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
74721.00ns INFO [00009340] GPR Update: R09=0000000000000001
74761.00ns INFO [00009345] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
74761.00ns INFO [00009345] Mem Update: @0001FFA4 00000001->00000001
74857.00ns INFO [00009357] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
74865.00ns INFO [00009358] GPR Update: R09=0000000000000001
74865.00ns INFO [00009358] CR Update: F0=5
74873.00ns INFO [00009359] C0: CP 0:000EF8 0000000000000EF8
75025.00ns INFO [00009378] C0: CP 0:000F08 1:000F0C 0000000000000F08
75033.00ns INFO [00009379] GPR Update: R09=000000000000001A
75049.00ns INFO [00009381] C0: CP 0:000F10 0000000000000F10
75089.00ns INFO [00009386] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ACD75F313600000000000000000000000000000000000000000000000 WIMG:0
75089.00ns INFO [00009386] Mem Update: @0001FFA0 00000019->0000001A
75097.00ns INFO [00009387] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001A 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001390 ........¯"L.¯"L..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75193.00ns INFO [00009399] C0: CP 0:000F14 0000000000000F14
75201.00ns INFO [00009400] ...tick...
75201.00ns INFO [00009400] C0: CP 0:000F18 0000000000000F18
75201.00ns INFO [00009400] GPR Update: R09=000000000000001A
75209.00ns INFO [00009401] C0: CP 0:000F1C 0000000000000F1C
75209.00ns INFO [00009401] CR Update: F0=9
75361.00ns INFO [00009420] C0: CP 0:000E8C 0000000000000E8C
75369.00ns INFO [00009421] C0: CP 0:000E90 1:000E94 0000000000000E90
75369.00ns INFO [00009421] GPR Update: R09=000000000000001A
75377.00ns INFO [00009422] C0: CP 0:000E98 1:000E9C 0000000000000E98
75377.00ns INFO [00009422] GPR Update: R09=0000000000000000
75377.00ns INFO [00009422] GPR Update: R10=0000000000000068
75385.00ns INFO [00009423] GPR Update: R09=0000000000001408
75433.00ns INFO [00009429] C0: CP 0:000EA0 0000000000000EA0
75441.00ns INFO [00009430] GPR Update: R09=00000000FFFFFFFF
75449.00ns INFO [00009431] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
75457.00ns INFO [00009432] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
75457.00ns INFO [00009432] GPR Update: R09=000000000000001A
75465.00ns INFO [00009433] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
75465.00ns INFO [00009433] GPR Update: R09=0000000000000000
75465.00ns INFO [00009433] GPR Update: R10=0000000000000068
75473.00ns INFO [00009434] C0: CP 0:000EBC 0000000000000EBC
75473.00ns INFO [00009434] GPR Update: R09=00000000000014A8
75481.00ns INFO [00009435] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
75481.00ns INFO [00009435] GPR Update: R09=00000000FFFFFFFF
75489.00ns INFO [00009436] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
75489.00ns INFO [00009436] GPR Update: R09=0000000000000001
75489.00ns INFO [00009436] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
75489.00ns INFO [00009436] Mem Update: @0001FFA8 AF224C19->FFFFFFFF
75497.00ns INFO [00009437] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001A 00000001 FFFFFFFF AF224C19 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿ¯"L..gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75497.00ns INFO [00009437] CR Update: F0=5
75521.00ns INFO [00009440] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
75521.00ns INFO [00009440] Mem Update: @0001FFAC AF224C19->FFFFFFFF
75529.00ns INFO [00009441] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
75585.00ns INFO [00009448] C0: CP 0:000ED0 0000000000000ED0
75593.00ns INFO [00009449] GPR Update: R10=00000000FFFFFFFF
75633.00ns INFO [00009454] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
75641.00ns INFO [00009455] GPR Update: R09=00000000FFFFFFFF
75641.00ns INFO [00009455] CR Update: F0=3
75649.00ns INFO [00009456] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
75657.00ns INFO [00009457] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
75657.00ns INFO [00009457] GPR Update: R09=0000000000000001
75697.00ns INFO [00009462] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
75697.00ns INFO [00009462] Mem Update: @0001FFA4 00000001->00000001
75793.00ns INFO [00009474] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
75801.00ns INFO [00009475] GPR Update: R09=0000000000000001
75801.00ns INFO [00009475] CR Update: F0=5
75809.00ns INFO [00009476] C0: CP 0:000EF8 0000000000000EF8
75961.00ns INFO [00009495] C0: CP 0:000F08 1:000F0C 0000000000000F08
75969.00ns INFO [00009496] GPR Update: R09=000000000000001B
75985.00ns INFO [00009498] C0: CP 0:000F10 0000000000000F10
76001.00ns INFO [00009500] ...tick...
76025.00ns INFO [00009503] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001BCD75F313600000000000000000000000000000000000000000000000 WIMG:0
76025.00ns INFO [00009503] Mem Update: @0001FFA0 0000001A->0000001B
76033.00ns INFO [00009504] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
76129.00ns INFO [00009516] C0: CP 0:000F14 0000000000000F14
76137.00ns INFO [00009517] C0: CP 0:000F18 0000000000000F18
76137.00ns INFO [00009517] GPR Update: R09=000000000000001B
76145.00ns INFO [00009518] C0: CP 0:000F1C 0000000000000F1C
76145.00ns INFO [00009518] CR Update: F0=9
76297.00ns INFO [00009537] C0: CP 0:000E8C 0000000000000E8C
76305.00ns INFO [00009538] C0: CP 0:000E90 1:000E94 0000000000000E90
76305.00ns INFO [00009538] GPR Update: R09=000000000000001B
76313.00ns INFO [00009539] C0: CP 0:000E98 1:000E9C 0000000000000E98
76313.00ns INFO [00009539] GPR Update: R09=0000000000000000
76313.00ns INFO [00009539] GPR Update: R10=000000000000006C
76321.00ns INFO [00009540] GPR Update: R09=000000000000140C
76369.00ns INFO [00009546] C0: CP 0:000EA0 0000000000000EA0
76377.00ns INFO [00009547] GPR Update: R09=00000000FFFFFFFF
76385.00ns INFO [00009548] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
76393.00ns INFO [00009549] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
76393.00ns INFO [00009549] GPR Update: R09=000000000000001B
76401.00ns INFO [00009550] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
76401.00ns INFO [00009550] GPR Update: R09=0000000000000000
76401.00ns INFO [00009550] GPR Update: R10=000000000000006C
76409.00ns INFO [00009551] C0: CP 0:000EBC 0000000000000EBC
76409.00ns INFO [00009551] GPR Update: R09=00000000000014AC
76417.00ns INFO [00009552] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
76417.00ns INFO [00009552] GPR Update: R09=00000000FFFFFFFF
76425.00ns INFO [00009553] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
76425.00ns INFO [00009553] GPR Update: R09=0000000000000001
76425.00ns INFO [00009553] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
76425.00ns INFO [00009553] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
76433.00ns INFO [00009554] CR Update: F0=5
76457.00ns INFO [00009557] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
76457.00ns INFO [00009557] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
76521.00ns INFO [00009565] C0: CP 0:000ED0 0000000000000ED0
76529.00ns INFO [00009566] GPR Update: R10=00000000FFFFFFFF
76569.00ns INFO [00009571] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
76577.00ns INFO [00009572] GPR Update: R09=00000000FFFFFFFF
76577.00ns INFO [00009572] CR Update: F0=3
76585.00ns INFO [00009573] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
76593.00ns INFO [00009574] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
76593.00ns INFO [00009574] GPR Update: R09=0000000000000001
76633.00ns INFO [00009579] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
76633.00ns INFO [00009579] Mem Update: @0001FFA4 00000001->00000001
76729.00ns INFO [00009591] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
76737.00ns INFO [00009592] GPR Update: R09=0000000000000001
76737.00ns INFO [00009592] CR Update: F0=5
76745.00ns INFO [00009593] C0: CP 0:000EF8 0000000000000EF8
76801.00ns INFO [00009600] ...tick...
76897.00ns INFO [00009612] C0: CP 0:000F08 1:000F0C 0000000000000F08
76905.00ns INFO [00009613] GPR Update: R09=000000000000001C
76921.00ns INFO [00009615] C0: CP 0:000F10 0000000000000F10
76961.00ns INFO [00009620] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001CCD75F313600000000000000000000000000000000000000000000000 WIMG:0
76961.00ns INFO [00009620] Mem Update: @0001FFA0 0000001B->0000001C
76969.00ns INFO [00009621] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
77065.00ns INFO [00009633] C0: CP 0:000F14 0000000000000F14
77073.00ns INFO [00009634] C0: CP 0:000F18 0000000000000F18
77073.00ns INFO [00009634] GPR Update: R09=000000000000001C
77081.00ns INFO [00009635] C0: CP 0:000F1C 0000000000000F1C
77081.00ns INFO [00009635] CR Update: F0=9
77233.00ns INFO [00009654] C0: CP 0:000E8C 0000000000000E8C
77241.00ns INFO [00009655] C0: CP 0:000E90 1:000E94 0000000000000E90
77241.00ns INFO [00009655] GPR Update: R09=000000000000001C
77249.00ns INFO [00009656] C0: CP 0:000E98 1:000E9C 0000000000000E98
77249.00ns INFO [00009656] GPR Update: R09=0000000000000000
77249.00ns INFO [00009656] GPR Update: R10=0000000000000070
77257.00ns INFO [00009657] GPR Update: R09=0000000000001410
77305.00ns INFO [00009663] C0: CP 0:000EA0 0000000000000EA0
77313.00ns INFO [00009664] GPR Update: R09=00000000D624B27A
77321.00ns INFO [00009665] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
77329.00ns INFO [00009666] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
77329.00ns INFO [00009666] GPR Update: R09=000000000000001C
77337.00ns INFO [00009667] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
77337.00ns INFO [00009667] GPR Update: R09=0000000000000000
77337.00ns INFO [00009667] GPR Update: R10=0000000000000070
77345.00ns INFO [00009668] C0: CP 0:000EBC 0000000000000EBC
77345.00ns INFO [00009668] GPR Update: R09=00000000000014B0
77353.00ns INFO [00009669] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
77353.00ns INFO [00009669] GPR Update: R09=00000000D624B27A
77361.00ns INFO [00009670] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
77361.00ns INFO [00009670] GPR Update: R09=0000000000000001
77361.00ns INFO [00009670] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000D624B27ACD75F31300000000000000000000000000000000 WIMG:0
77361.00ns INFO [00009670] Mem Update: @0001FFA8 FFFFFFFF->D624B27A
77369.00ns INFO [00009671] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001C 00000001 D624B27A FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........Ö$²zÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
77369.00ns INFO [00009671] CR Update: F0=5
77393.00ns INFO [00009674] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000D624B27A00000000000000000000000000000000 WIMG:0
77393.00ns INFO [00009674] Mem Update: @0001FFAC FFFFFFFF->D624B27A
77401.00ns INFO [00009675] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001C 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001390 ........Ö$²zÖ$²z.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
77457.00ns INFO [00009682] C0: CP 0:000ED0 0000000000000ED0
77465.00ns INFO [00009683] GPR Update: R10=00000000D624B27A
77505.00ns INFO [00009688] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
77513.00ns INFO [00009689] GPR Update: R09=00000000D624B27A
77513.00ns INFO [00009689] CR Update: F0=3
77521.00ns INFO [00009690] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
77529.00ns INFO [00009691] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
77529.00ns INFO [00009691] GPR Update: R09=0000000000000001
77569.00ns INFO [00009696] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
77569.00ns INFO [00009696] Mem Update: @0001FFA4 00000001->00000001
77601.00ns INFO [00009700] ...tick...
77665.00ns INFO [00009708] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
77673.00ns INFO [00009709] GPR Update: R09=0000000000000001
77673.00ns INFO [00009709] CR Update: F0=5
77681.00ns INFO [00009710] C0: CP 0:000EF8 0000000000000EF8
77833.00ns INFO [00009729] C0: CP 0:000F08 1:000F0C 0000000000000F08
77841.00ns INFO [00009730] GPR Update: R09=000000000000001D
77857.00ns INFO [00009732] C0: CP 0:000F10 0000000000000F10
77897.00ns INFO [00009737] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001DCD75F313600000000000000000000000000000000000000000000000 WIMG:0
77897.00ns INFO [00009737] Mem Update: @0001FFA0 0000001C->0000001D
77905.00ns INFO [00009738] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001D 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001390 ........Ö$²zÖ$²z.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
78001.00ns INFO [00009750] C0: CP 0:000F14 0000000000000F14
78009.00ns INFO [00009751] C0: CP 0:000F18 0000000000000F18
78009.00ns INFO [00009751] GPR Update: R09=000000000000001D
78017.00ns INFO [00009752] C0: CP 0:000F1C 0000000000000F1C
78017.00ns INFO [00009752] CR Update: F0=9
78169.00ns INFO [00009771] C0: CP 0:000E8C 0000000000000E8C
78177.00ns INFO [00009772] C0: CP 0:000E90 1:000E94 0000000000000E90
78177.00ns INFO [00009772] GPR Update: R09=000000000000001D
78185.00ns INFO [00009773] C0: CP 0:000E98 1:000E9C 0000000000000E98
78185.00ns INFO [00009773] GPR Update: R09=0000000000000000
78185.00ns INFO [00009773] GPR Update: R10=0000000000000074
78193.00ns INFO [00009774] GPR Update: R09=0000000000001414
78241.00ns INFO [00009780] C0: CP 0:000EA0 0000000000000EA0
78249.00ns INFO [00009781] GPR Update: R09=00000000FFFFFFFF
78257.00ns INFO [00009782] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
78265.00ns INFO [00009783] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
78265.00ns INFO [00009783] GPR Update: R09=000000000000001D
78273.00ns INFO [00009784] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
78273.00ns INFO [00009784] GPR Update: R09=0000000000000000
78273.00ns INFO [00009784] GPR Update: R10=0000000000000074
78281.00ns INFO [00009785] C0: CP 0:000EBC 0000000000000EBC
78281.00ns INFO [00009785] GPR Update: R09=00000000000014B4
78289.00ns INFO [00009786] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
78289.00ns INFO [00009786] GPR Update: R09=00000000FFFFFFFF
78297.00ns INFO [00009787] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
78297.00ns INFO [00009787] GPR Update: R09=0000000000000001
78297.00ns INFO [00009787] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
78297.00ns INFO [00009787] Mem Update: @0001FFA8 D624B27A->FFFFFFFF
78305.00ns INFO [00009788] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001D 00000001 FFFFFFFF D624B27A 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÖ$²z.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
78305.00ns INFO [00009788] CR Update: F0=5
78329.00ns INFO [00009791] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
78329.00ns INFO [00009791] Mem Update: @0001FFAC D624B27A->FFFFFFFF
78337.00ns INFO [00009792] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
78393.00ns INFO [00009799] C0: CP 0:000ED0 0000000000000ED0
78401.00ns INFO [00009800] ...tick...
78401.00ns INFO [00009800] GPR Update: R10=00000000FFFFFFFF
78441.00ns INFO [00009805] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
78449.00ns INFO [00009806] GPR Update: R09=00000000FFFFFFFF
78449.00ns INFO [00009806] CR Update: F0=3
78457.00ns INFO [00009807] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
78465.00ns INFO [00009808] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
78465.00ns INFO [00009808] GPR Update: R09=0000000000000001
78505.00ns INFO [00009813] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
78505.00ns INFO [00009813] Mem Update: @0001FFA4 00000001->00000001
78601.00ns INFO [00009825] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
78609.00ns INFO [00009826] GPR Update: R09=0000000000000001
78609.00ns INFO [00009826] CR Update: F0=5
78617.00ns INFO [00009827] C0: CP 0:000EF8 0000000000000EF8
78769.00ns INFO [00009846] C0: CP 0:000F08 1:000F0C 0000000000000F08
78777.00ns INFO [00009847] GPR Update: R09=000000000000001E
78793.00ns INFO [00009849] C0: CP 0:000F10 0000000000000F10
78833.00ns INFO [00009854] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ECD75F313600000000000000000000000000000000000000000000000 WIMG:0
78833.00ns INFO [00009854] Mem Update: @0001FFA0 0000001D->0000001E
78841.00ns INFO [00009855] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
78937.00ns INFO [00009867] C0: CP 0:000F14 0000000000000F14
78945.00ns INFO [00009868] C0: CP 0:000F18 0000000000000F18
78945.00ns INFO [00009868] GPR Update: R09=000000000000001E
78953.00ns INFO [00009869] C0: CP 0:000F1C 0000000000000F1C
78953.00ns INFO [00009869] CR Update: F0=9
79105.00ns INFO [00009888] C0: CP 0:000E8C 0000000000000E8C
79113.00ns INFO [00009889] C0: CP 0:000E90 1:000E94 0000000000000E90
79113.00ns INFO [00009889] GPR Update: R09=000000000000001E
79121.00ns INFO [00009890] C0: CP 0:000E98 1:000E9C 0000000000000E98
79121.00ns INFO [00009890] GPR Update: R09=0000000000000000
79121.00ns INFO [00009890] GPR Update: R10=0000000000000078
79129.00ns INFO [00009891] GPR Update: R09=0000000000001418
79177.00ns INFO [00009897] C0: CP 0:000EA0 0000000000000EA0
79185.00ns INFO [00009898] GPR Update: R09=00000000FFFFFFFF
79193.00ns INFO [00009899] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
79201.00ns INFO [00009900] ...tick...
79201.00ns INFO [00009900] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
79201.00ns INFO [00009900] GPR Update: R09=000000000000001E
79209.00ns INFO [00009901] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
79209.00ns INFO [00009901] GPR Update: R09=0000000000000000
79209.00ns INFO [00009901] GPR Update: R10=0000000000000078
79217.00ns INFO [00009902] C0: CP 0:000EBC 0000000000000EBC
79217.00ns INFO [00009902] GPR Update: R09=00000000000014B8
79225.00ns INFO [00009903] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
79225.00ns INFO [00009903] GPR Update: R09=00000000FFFFFFFF
79233.00ns INFO [00009904] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
79233.00ns INFO [00009904] GPR Update: R09=0000000000000001
79233.00ns INFO [00009904] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
79233.00ns INFO [00009904] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
79241.00ns INFO [00009905] CR Update: F0=5
79265.00ns INFO [00009908] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
79265.00ns INFO [00009908] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
79329.00ns INFO [00009916] C0: CP 0:000ED0 0000000000000ED0
79337.00ns INFO [00009917] GPR Update: R10=00000000FFFFFFFF
79377.00ns INFO [00009922] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
79385.00ns INFO [00009923] GPR Update: R09=00000000FFFFFFFF
79385.00ns INFO [00009923] CR Update: F0=3
79393.00ns INFO [00009924] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
79401.00ns INFO [00009925] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
79401.00ns INFO [00009925] GPR Update: R09=0000000000000001
79441.00ns INFO [00009930] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
79441.00ns INFO [00009930] Mem Update: @0001FFA4 00000001->00000001
79537.00ns INFO [00009942] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
79545.00ns INFO [00009943] GPR Update: R09=0000000000000001
79545.00ns INFO [00009943] CR Update: F0=5
79553.00ns INFO [00009944] C0: CP 0:000EF8 0000000000000EF8
79705.00ns INFO [00009963] C0: CP 0:000F08 1:000F0C 0000000000000F08
79713.00ns INFO [00009964] GPR Update: R09=000000000000001F
79729.00ns INFO [00009966] C0: CP 0:000F10 0000000000000F10
79769.00ns INFO [00009971] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001FCD75F313600000000000000000000000000000000000000000000000 WIMG:0
79769.00ns INFO [00009971] Mem Update: @0001FFA0 0000001E->0000001F
79777.00ns INFO [00009972] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 0000001F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ........ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
79873.00ns INFO [00009984] C0: CP 0:000F14 0000000000000F14
79881.00ns INFO [00009985] C0: CP 0:000F18 0000000000000F18
79881.00ns INFO [00009985] GPR Update: R09=000000000000001F
79889.00ns INFO [00009986] C0: CP 0:000F1C 0000000000000F1C
79889.00ns INFO [00009986] CR Update: F0=3
80001.00ns INFO [00010000] ...tick...
80041.00ns INFO [00010005] C0: CP 0:000E8C 0000000000000E8C
80049.00ns INFO [00010006] C0: CP 0:000E90 1:000E94 0000000000000E90
80049.00ns INFO [00010006] GPR Update: R09=000000000000001F
80057.00ns INFO [00010007] C0: CP 0:000E98 1:000E9C 0000000000000E98
80057.00ns INFO [00010007] GPR Update: R09=0000000000000000
80057.00ns INFO [00010007] GPR Update: R10=000000000000007C
80065.00ns INFO [00010008] GPR Update: R09=000000000000141C
80113.00ns INFO [00010014] C0: CP 0:000EA0 0000000000000EA0
80121.00ns INFO [00010015] GPR Update: R09=00000000FFFFFFFF
80129.00ns INFO [00010016] C0: CP 0:000EA4 1:000EA8 0000000000000EA4
80137.00ns INFO [00010017] C0: CP 0:000EAC 1:000EB0 0000000000000EAC
80137.00ns INFO [00010017] GPR Update: R09=000000000000001F
80145.00ns INFO [00010018] C0: CP 0:000EB4 1:000EB8 0000000000000EB4
80145.00ns INFO [00010018] GPR Update: R09=0000000000000000
80145.00ns INFO [00010018] GPR Update: R10=000000000000007C
80153.00ns INFO [00010019] C0: CP 0:000EBC 0000000000000EBC
80153.00ns INFO [00010019] GPR Update: R09=00000000000014BC
80161.00ns INFO [00010020] C0: CP 0:000EC0 1:000EC4 0000000000000EC0
80161.00ns INFO [00010020] GPR Update: R09=00000000FFFFFFFF
80169.00ns INFO [00010021] C0: CP 0:000EC8 1:000ECC 0000000000000EC8
80169.00ns INFO [00010021] GPR Update: R09=0000000000000001
80169.00ns INFO [00010021] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
80169.00ns INFO [00010021] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
80177.00ns INFO [00010022] CR Update: F0=5
80201.00ns INFO [00010025] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
80201.00ns INFO [00010025] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
80265.00ns INFO [00010033] C0: CP 0:000ED0 0000000000000ED0
80273.00ns INFO [00010034] GPR Update: R10=00000000FFFFFFFF
80313.00ns INFO [00010039] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
80321.00ns INFO [00010040] GPR Update: R09=00000000FFFFFFFF
80321.00ns INFO [00010040] CR Update: F0=3
80329.00ns INFO [00010041] C0: CP 0:000EDC 1:000EE0 0000000000000EDC
80337.00ns INFO [00010042] C0: CP 0:000EE4 1:000EEC 0000000000000EE4
80337.00ns INFO [00010042] GPR Update: R09=0000000000000001
80377.00ns INFO [00010047] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
80377.00ns INFO [00010047] Mem Update: @0001FFA4 00000001->00000001
80473.00ns INFO [00010059] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
80481.00ns INFO [00010060] GPR Update: R09=0000000000000001
80481.00ns INFO [00010060] CR Update: F0=5
80489.00ns INFO [00010061] C0: CP 0:000EF8 0000000000000EF8
80641.00ns INFO [00010080] C0: CP 0:000F08 1:000F0C 0000000000000F08
80649.00ns INFO [00010081] GPR Update: R09=0000000000000020
80665.00ns INFO [00010083] C0: CP 0:000F10 0000000000000F10
80705.00ns INFO [00010088] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000020CD75F313600000000000000000000000000000000000000000000000 WIMG:0
80705.00ns INFO [00010088] Mem Update: @0001FFA0 0000001F->00000020
80713.00ns INFO [00010089] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000020 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ... ....ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
80801.00ns INFO [00010100] ...tick...
80809.00ns INFO [00010101] C0: CP 0:000F14 0000000000000F14
80817.00ns INFO [00010102] C0: CP 0:000F18 0000000000000F18
80817.00ns INFO [00010102] GPR Update: R09=0000000000000020
80825.00ns INFO [00010103] C0: CP 0:000F1C 1:000F20 0000000000000F1C
80825.00ns INFO [00010103] CR Update: F0=5
80833.00ns INFO [00010104] C0: CP 0:000F24 0000000000000F24
80833.00ns INFO [00010104] GPR Update: R09=0000000000000021
80873.00ns INFO [00010109] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000021CD75F313600000000000000000000000000000000000000000000000 WIMG:0
80873.00ns INFO [00010109] Mem Update: @0001FFA0 00000020->00000021
80881.00ns INFO [00010110] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000021 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ...!....ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
80969.00ns INFO [00010121] C0: CP 0:000F28 1:000F2C 0000000000000F28
80977.00ns INFO [00010122] C0: CP 0:000F30 1:000F34 0000000000000F30
80977.00ns INFO [00010122] GPR Update: R09=0000000000000021
80977.00ns INFO [00010122] GPR Update: R10=0000000000000084
80985.00ns INFO [00010123] GPR Update: R09=00000000000013A0
80993.00ns INFO [00010124] C0: CP 0:000F38 0000000000000F38
81001.00ns INFO [00010125] GPR Update: R09=0000000000001424
81009.00ns INFO [00010126] T0 LOAD 000014C4 tag=00 len=4 WIMG:0 reld data:10132
81041.00ns INFO [00010130] C0: CP 0:000F3C 0000000000000F3C
81049.00ns INFO [00010131] GPR Update: R09=000000008000006E
81057.00ns INFO [00010132] C0: CP 0:000F40 1:000F44 0000000000000F40
81057.00ns INFO [00010132] RELD tag=00 9BFD362898F0006EFFFFFFFFFFFFFFFF 1of4 crit
81065.00ns INFO [00010133] C0: CP 0:000F48 1:000F4C 0000000000000F48
81065.00ns INFO [00010133] GPR Update: R09=0000000000000021
81065.00ns INFO [00010133] RELD tag=00 FFFFFFFF00001104000100389421FFF0 2of4
81073.00ns INFO [00010134] C0: CP 0:000F50 1:000F54 0000000000000F50
81073.00ns INFO [00010134] GPR Update: R09=0000000000000000
81073.00ns INFO [00010134] GPR Update: R10=0000000000000084
81073.00ns INFO [00010134] RELD tag=00 7C691B7899210008892100083D400000 3of4
81081.00ns INFO [00010135] GPR Update: R09=00000000000014C4
81081.00ns INFO [00010135] RELD tag=00 394A09047D2A48AE552907BC2C090000 4of4
81121.00ns INFO [00010140] C0: CP 0:000F58 0000000000000F58
81129.00ns INFO [00010141] GPR Update: R09=0000000098F0006E
81137.00ns INFO [00010142] C0: CP 0:000F5C 0000000000000F5C
81145.00ns INFO [00010143] GPR Update: R09=98F0006E8000006E
81145.00ns INFO [00010143] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=7D2A48AE000000008000006E394A090400000000000000000000000000000000 WIMG:0
81145.00ns INFO [00010143] Mem Update: @0001FFA8 FFFFFFFF->8000006E
81153.00ns INFO [00010144] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000021 00000001 8000006E FFFFFFFF 08675309 00000000 0001FFF8 00001390 ...!....€..nÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
81169.00ns INFO [00010146] C0: CP 0:000F60 1:000F64 0000000000000F60
81177.00ns INFO [00010147] C0: CP 0:000F68 1:000F6C 0000000000000F68
81177.00ns INFO [00010147] GPR Update: R09=0000000000000001
81185.00ns INFO [00010148] CR Update: F0=5
81209.00ns INFO [00010151] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=394A09047D2A48AE98F0006E8000006E00000000000000000000000000000000 WIMG:0
81209.00ns INFO [00010151] Mem Update: @0001FFAC FFFFFFFF->8000006E
81217.00ns INFO [00010152] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000021 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001390 ...!....€..n€..n.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
81241.00ns INFO [00010155] C0: CP 0:000F70 0000000000000F70
81249.00ns INFO [00010156] GPR Update: R10=000000008000006E
81313.00ns INFO [00010164] C0: CP 0:000F74 0000000000000F74
81321.00ns INFO [00010165] C0: CP 0:000F78 0000000000000F78
81321.00ns INFO [00010165] GPR Update: R09=000000008000006E
81329.00ns INFO [00010166] C0: CP 0:000F7C 1:000F80 0000000000000F7C
81329.00ns INFO [00010166] CR Update: F0=3
81337.00ns INFO [00010167] C0: CP 0:000F84 1:000F8C 0000000000000F84
81337.00ns INFO [00010167] GPR Update: R09=0000000000000001
81377.00ns INFO [00010172] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001394A09047D2A48AE00000000000000000000000000000000 WIMG:0
81377.00ns INFO [00010172] Mem Update: @0001FFA4 00000001->00000001
81473.00ns INFO [00010184] C0: CP 0:000F90 1:000F94 0000000000000F90
81481.00ns INFO [00010185] GPR Update: R09=0000000000000001
81481.00ns INFO [00010185] CR Update: F0=5
81489.00ns INFO [00010186] C0: CP 0:000F98 0000000000000F98
81577.00ns INFO [00010197] T0 IFETCH 00001030 tag=08 len=16 WIMG:0 reld data:10203
81601.00ns INFO [00010200] ...tick...
81601.00ns INFO [00010200] T0 IFETCH 00001040 tag=09 len=16 WIMG:0 reld data:10207
81609.00ns INFO [00010201] C0: CP 0:000FA8 0000000000000FA8
81617.00ns INFO [00010202] GPR Update: R09=0000000000000022
81625.00ns INFO [00010203] RELD tag=08 7C0A48004082000C3920000148000008 1of4
81633.00ns INFO [00010204] C0: CP 0:000FAC 1:000FB0 0000000000000FAC
81633.00ns INFO [00010204] RELD tag=08 392000009121000C8121000C2C090000 2of4
81641.00ns INFO [00010205] RELD tag=08 40820010812100087D234B784BFFF7C9 3of4
81649.00ns INFO [00010206] RELD tag=08 81210008392900019121000881210008 4of4 crit
81657.00ns INFO [00010207] RELD tag=09 280900234081FF704BFFF7A948000000 1of4 crit
81665.00ns INFO [00010208] RELD tag=09 9421FFF0906100089081000C48000258 2of4
81673.00ns INFO [00010209] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000022392000009121000C0000000000000000000000000000000000000000 WIMG:0
81673.00ns INFO [00010209] Mem Update: @0001FFA0 00000021->00000022
81673.00ns INFO [00010209] RELD tag=09 60000000382100104E80002048000000 3of4
81681.00ns INFO [00010210] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000022 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001390 ..."....€..n€..n.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
81681.00ns INFO [00010210] RELD tag=09 0001C000000000000000000000000000 4of4
81809.00ns INFO [00010226] C0: CP 0:00103C 000000000000103C
81817.00ns INFO [00010227] GPR Update: R09=0000000000000022
81833.00ns INFO [00010229] C0: CP 0:001040 0000000000001040
81841.00ns INFO [00010230] C0: CP 0:001044 0000000000001044
81841.00ns INFO [00010230] CR Update: F0=9
81993.00ns INFO [00010249] C0: CP 0:000FB4 1:000FB8 0000000000000FB4
82001.00ns INFO [00010250] C0: CP 0:000FBC 1:000FC0 0000000000000FBC
82001.00ns INFO [00010250] GPR Update: R09=0000000000000022
82001.00ns INFO [00010250] GPR Update: R10=0000000000000088
82009.00ns INFO [00010251] GPR Update: R09=00000000000013A0
82017.00ns INFO [00010252] C0: CP 0:000FC4 0000000000000FC4
82025.00ns INFO [00010253] GPR Update: R09=0000000000001428
82065.00ns INFO [00010258] C0: CP 0:000FC8 0000000000000FC8
82073.00ns INFO [00010259] GPR Update: R09=00000000FFFFFFFF
82081.00ns INFO [00010260] C0: CP 0:000FCC 1:000FD0 0000000000000FCC
82089.00ns INFO [00010261] C0: CP 0:000FD4 1:000FD8 0000000000000FD4
82089.00ns INFO [00010261] GPR Update: R09=0000000000000022
82097.00ns INFO [00010262] C0: CP 0:000FDC 1:000FE0 0000000000000FDC
82097.00ns INFO [00010262] GPR Update: R09=0000000000000000
82097.00ns INFO [00010262] GPR Update: R10=0000000000000088
82105.00ns INFO [00010263] C0: CP 0:000FE4 0000000000000FE4
82105.00ns INFO [00010263] GPR Update: R09=00000000000014C8
82113.00ns INFO [00010264] C0: CP 0:000FE8 1:000FEC 0000000000000FE8
82113.00ns INFO [00010264] GPR Update: R09=00000000FFFFFFFF
82121.00ns INFO [00010265] C0: CP 0:000FF0 1:000FF4 0000000000000FF0
82121.00ns INFO [00010265] GPR Update: R09=0000000000000001
82129.00ns INFO [00010266] CR Update: F0=5
82137.00ns INFO [00010267] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=0000000000000000FFFFFFFF0001C00000000000000000000000000000000000 WIMG:0
82137.00ns INFO [00010267] Mem Update: @0001FFA8 8000006E->FFFFFFFF
82145.00ns INFO [00010268] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000022 00000001 FFFFFFFF 8000006E 08675309 00000000 0001FFF8 00001390 ..."....ÿÿÿÿ€..n.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
82169.00ns INFO [00010271] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=0001C0000000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
82169.00ns INFO [00010271] Mem Update: @0001FFAC 8000006E->FFFFFFFF
82177.00ns INFO [00010272] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000022 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ..."....ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
82233.00ns INFO [00010279] C0: CP 0:000FF8 0000000000000FF8
82241.00ns INFO [00010280] GPR Update: R10=00000000FFFFFFFF
82265.00ns INFO [00010283] C0: CP 0:000FFC 0000000000000FFC
82273.00ns INFO [00010284] C0: CP 0:001000 0000000000001000
82273.00ns INFO [00010284] GPR Update: R09=00000000FFFFFFFF
82281.00ns INFO [00010285] C0: CP 0:001004 1:001008 0000000000001004
82281.00ns INFO [00010285] CR Update: F0=3
82289.00ns INFO [00010286] C0: CP 0:00100C 1:001014 000000000000100C
82289.00ns INFO [00010286] GPR Update: R09=0000000000000001
82329.00ns INFO [00010291] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000010001C0000000000000000000000000000000000000000000 WIMG:0
82329.00ns INFO [00010291] Mem Update: @0001FFA4 00000001->00000001
82401.00ns INFO [00010300] ...tick...
82425.00ns INFO [00010303] C0: CP 0:001018 1:00101C 0000000000001018
82433.00ns INFO [00010304] GPR Update: R09=0000000000000001
82433.00ns INFO [00010304] CR Update: F0=5
82441.00ns INFO [00010305] C0: CP 0:001020 0000000000001020
82593.00ns INFO [00010324] C0: CP 0:001030 1:001034 0000000000001030
82601.00ns INFO [00010325] GPR Update: R09=0000000000000023
82617.00ns INFO [00010327] C0: CP 0:001038 0000000000001038
82657.00ns INFO [00010332] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000230001C000000000000000000000000000000000000000000000000000 WIMG:0
82657.00ns INFO [00010332] Mem Update: @0001FFA0 00000022->00000023
82665.00ns INFO [00010333] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000023 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ...#....ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
82761.00ns INFO [00010345] C0: CP 0:00103C 000000000000103C
82769.00ns INFO [00010346] C0: CP 0:001040 0000000000001040
82769.00ns INFO [00010346] GPR Update: R09=0000000000000023
82777.00ns INFO [00010347] C0: CP 0:001044 0000000000001044
82777.00ns INFO [00010347] CR Update: F0=3
82929.00ns INFO [00010366] C0: CP 0:000FB4 1:000FB8 0000000000000FB4
82937.00ns INFO [00010367] C0: CP 0:000FBC 1:000FC0 0000000000000FBC
82937.00ns INFO [00010367] GPR Update: R09=0000000000000023
82937.00ns INFO [00010367] GPR Update: R10=000000000000008C
82945.00ns INFO [00010368] GPR Update: R09=00000000000013A0
82953.00ns INFO [00010369] C0: CP 0:000FC4 0000000000000FC4
82961.00ns INFO [00010370] GPR Update: R09=000000000000142C
83001.00ns INFO [00010375] C0: CP 0:000FC8 0000000000000FC8
83009.00ns INFO [00010376] GPR Update: R09=00000000FFFFFFFF
83017.00ns INFO [00010377] C0: CP 0:000FCC 1:000FD0 0000000000000FCC
83025.00ns INFO [00010378] C0: CP 0:000FD4 1:000FD8 0000000000000FD4
83025.00ns INFO [00010378] GPR Update: R09=0000000000000023
83033.00ns INFO [00010379] C0: CP 0:000FDC 1:000FE0 0000000000000FDC
83033.00ns INFO [00010379] GPR Update: R09=0000000000000000
83033.00ns INFO [00010379] GPR Update: R10=000000000000008C
83041.00ns INFO [00010380] C0: CP 0:000FE4 0000000000000FE4
83041.00ns INFO [00010380] GPR Update: R09=00000000000014CC
83049.00ns INFO [00010381] C0: CP 0:000FE8 1:000FEC 0000000000000FE8
83049.00ns INFO [00010381] GPR Update: R09=00000000FFFFFFFF
83057.00ns INFO [00010382] C0: CP 0:000FF0 1:000FF4 0000000000000FF0
83057.00ns INFO [00010382] GPR Update: R09=0000000000000001
83065.00ns INFO [00010383] CR Update: F0=5
83073.00ns INFO [00010384] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=0000000000000000FFFFFFFF0001C00000000000000000000000000000000000 WIMG:0
83073.00ns INFO [00010384] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
83105.00ns INFO [00010388] T0 STORE 0001FFAC tag=01 len=4 be=000F0000 data=0001C0000000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
83105.00ns INFO [00010388] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
83169.00ns INFO [00010396] C0: CP 0:000FF8 0000000000000FF8
83177.00ns INFO [00010397] GPR Update: R10=00000000FFFFFFFF
83201.00ns INFO [00010400] ...tick...
83201.00ns INFO [00010400] C0: CP 0:000FFC 0000000000000FFC
83209.00ns INFO [00010401] C0: CP 0:001000 0000000000001000
83209.00ns INFO [00010401] GPR Update: R09=00000000FFFFFFFF
83217.00ns INFO [00010402] C0: CP 0:001004 1:001008 0000000000001004
83217.00ns INFO [00010402] CR Update: F0=3
83225.00ns INFO [00010403] C0: CP 0:00100C 1:001014 000000000000100C
83225.00ns INFO [00010403] GPR Update: R09=0000000000000001
83265.00ns INFO [00010408] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000010001C0000000000000000000000000000000000000000000 WIMG:0
83265.00ns INFO [00010408] Mem Update: @0001FFA4 00000001->00000001
83361.00ns INFO [00010420] C0: CP 0:001018 1:00101C 0000000000001018
83369.00ns INFO [00010421] GPR Update: R09=0000000000000001
83369.00ns INFO [00010421] CR Update: F0=5
83377.00ns INFO [00010422] C0: CP 0:001020 0000000000001020
83529.00ns INFO [00010441] C0: CP 0:001030 1:001034 0000000000001030
83537.00ns INFO [00010442] GPR Update: R09=0000000000000024
83553.00ns INFO [00010444] C0: CP 0:001038 0000000000001038
83593.00ns INFO [00010449] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000240001C000000000000000000000000000000000000000000000000000 WIMG:0
83593.00ns INFO [00010449] Mem Update: @0001FFA0 00000023->00000024
83601.00ns INFO [00010450] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ....ÿÿÿÿ...8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ..þ*..ÿ$........ÿÿÿû............
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ..........ýè....................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ..þ,..ÿ$........ÿÿÿÿÿÿÿÿÿÿÿÿ....
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ..................þ$.......ù..ÿD
0001FDE0: 00000000 00000000 0001FDF8 000026BC 0A000008 00000000 0001FE08 00000000 ..........ýø..&¼..........þ.....
0001FE00: 0000000A 00000100 0001FE18 00003A38 0001FE2C 00000000 0001FF38 00003AC0 ..........þ...:8..þ,......ÿ8..:À
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B58 ...................ð..ÿD..ÿ¸..;X
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ..........ÿÀ..ÿX...ð............
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ..............À.................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ..........................ÿ¸....
0001FFA0: 00000024 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001390 ...$....ÿÿÿÿÿÿÿÿ.gS.......ÿø...
0001FFC0: 0001E028 00012008 000010A0 00000000 00000000 00000000 000008C0 FE000000 ..à(.. .... ...............Àþ...
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
83697.00ns INFO [00010462] C0: CP 0:00103C 000000000000103C
83705.00ns INFO [00010463] C0: CP 0:001040 0000000000001040
83705.00ns INFO [00010463] GPR Update: R09=0000000000000024
83713.00ns INFO [00010464] C0: CP 0:001044 0000000000001044
83713.00ns INFO [00010464] CR Update: F0=5
83721.00ns INFO [00010465] C0: CP 0:001048 0000000000001048
83729.00ns INFO [00010466] C0: CP 0:0007F0 00000000000007F0
83729.00ns INFO [00010466] Passing IAR detected: 000007F0
83729.00ns INFO Test stopped by this forked coroutine
83729.00ns INFO tb passed
83729.00ns INFO **************************************************************************************
** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) **
**************************************************************************************
** tb.tb PASS 83729.00 2182.50 38.36 **
**************************************************************************************
** TESTS=0 PASS=1 FAIL=0 SKIP=0 83729.00 2182.51 38.36 **
**************************************************************************************
VCD info: dumpfile wtf-coco.vcd opened for output.
VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD.
make[1]: Leaving directory '/data/projects/a2o/dev/sim/coco'
vcd2fst wtf-coco.vcd wtf-coco.fst
rm wtf-coco.vcd