You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
a2o/dev/build/litex/build/cmod7/gateware/cmod7_utilization_synth.rpt

201 lines
8.0 KiB
Plaintext

Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
------------------------------------------------------------------------------------
| Tool Version : Vivado v.2020.2 (lin64) Build 3064766 Wed Nov 18 09:12:47 MST 2020
| Date : Wed Aug 3 07:40:33 2022
| Host : GatorCountry running 64-bit Ubuntu 20.04.4 LTS
| Command : report_utilization -file cmod7_utilization_synth.rpt
| Design : cmod7
| Device : 7a200tsbg484-1
| Design State : Synthesized
------------------------------------------------------------------------------------
Utilization Design Information
Table of Contents
-----------------
1. Slice Logic
1.1 Summary of Registers by Type
2. Memory
3. DSP
4. IO and GT Specific
5. Clocking
6. Specific Feature
7. Primitives
8. Black Boxes
9. Instantiated Netlists
1. Slice Logic
--------------
+----------------------------+--------+-------+-----------+--------+
| Site Type | Used | Fixed | Available | Util% |
+----------------------------+--------+-------+-----------+--------+
| Slice LUTs* | 231525 | 0 | 134600 | 172.01 |
| LUT as Logic | 230967 | 0 | 134600 | 171.60 |
| LUT as Memory | 558 | 0 | 46200 | 1.21 |
| LUT as Distributed RAM | 556 | 0 | | |
| LUT as Shift Register | 2 | 0 | | |
| Slice Registers | 89333 | 0 | 269200 | 33.18 |
| Register as Flip Flop | 89333 | 0 | 269200 | 33.18 |
| Register as Latch | 0 | 0 | 269200 | 0.00 |
| F7 Muxes | 8148 | 0 | 67300 | 12.11 |
| F8 Muxes | 3260 | 0 | 33650 | 9.69 |
+----------------------------+--------+-------+-----------+--------+
* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
1.1 Summary of Registers by Type
--------------------------------
+-------+--------------+-------------+--------------+
| Total | Clock Enable | Synchronous | Asynchronous |
+-------+--------------+-------------+--------------+
| 0 | _ | - | - |
| 0 | _ | - | Set |
| 0 | _ | - | Reset |
| 0 | _ | Set | - |
| 0 | _ | Reset | - |
| 0 | Yes | - | - |
| 4 | Yes | - | Set |
| 8 | Yes | - | Reset |
| 903 | Yes | Set | - |
| 88418 | Yes | Reset | - |
+-------+--------------+-------------+--------------+
2. Memory
---------
+-------------------+-------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-------------------+-------+-------+-----------+-------+
| Block RAM Tile | 101.5 | 0 | 365 | 27.81 |
| RAMB36/FIFO* | 95 | 0 | 365 | 26.03 |
| RAMB36E1 only | 95 | | | |
| RAMB18 | 13 | 0 | 730 | 1.78 |
| RAMB18E1 only | 13 | | | |
+-------------------+-------+-------+-----------+-------+
* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
3. DSP
------
+-----------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-----------+------+-------+-----------+-------+
| DSPs | 0 | 0 | 740 | 0.00 |
+-----------+------+-------+-----------+-------+
4. IO and GT Specific
---------------------
+-----------------------------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-----------------------------+------+-------+-----------+-------+
| Bonded IOB | 7 | 3 | 285 | 2.46 |
| IOB Master Pads | 1 | | | |
| IOB Slave Pads | 2 | | | |
| Bonded IPADs | 0 | 0 | 14 | 0.00 |
| Bonded OPADs | 0 | 0 | 8 | 0.00 |
| PHY_CONTROL | 0 | 0 | 10 | 0.00 |
| PHASER_REF | 0 | 0 | 10 | 0.00 |
| OUT_FIFO | 0 | 0 | 40 | 0.00 |
| IN_FIFO | 0 | 0 | 40 | 0.00 |
| IDELAYCTRL | 1 | 0 | 10 | 10.00 |
| IBUFDS | 0 | 0 | 274 | 0.00 |
| GTPE2_CHANNEL | 0 | 0 | 4 | 0.00 |
| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 40 | 0.00 |
| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 40 | 0.00 |
| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 500 | 0.00 |
| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 |
| ILOGIC | 0 | 0 | 285 | 0.00 |
| OLOGIC | 0 | 0 | 285 | 0.00 |
+-----------------------------+------+-------+-----------+-------+
5. Clocking
-----------
+------------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+------------+------+-------+-----------+-------+
| BUFGCTRL | 4 | 0 | 32 | 12.50 |
| BUFIO | 0 | 0 | 40 | 0.00 |
| MMCME2_ADV | 1 | 0 | 10 | 10.00 |
| PLLE2_ADV | 0 | 0 | 10 | 0.00 |
| BUFMRCE | 0 | 0 | 20 | 0.00 |
| BUFHCE | 0 | 0 | 120 | 0.00 |
| BUFR | 0 | 0 | 40 | 0.00 |
+------------+------+-------+-----------+-------+
6. Specific Feature
-------------------
+-------------+------+-------+-----------+-------+
| Site Type | Used | Fixed | Available | Util% |
+-------------+------+-------+-----------+-------+
| BSCANE2 | 0 | 0 | 4 | 0.00 |
| CAPTUREE2 | 0 | 0 | 1 | 0.00 |
| DNA_PORT | 0 | 0 | 1 | 0.00 |
| EFUSE_USR | 0 | 0 | 1 | 0.00 |
| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 |
| ICAPE2 | 0 | 0 | 2 | 0.00 |
| PCIE_2_1 | 0 | 0 | 1 | 0.00 |
| STARTUPE2 | 0 | 0 | 1 | 0.00 |
| XADC | 0 | 0 | 1 | 0.00 |
+-------------+------+-------+-----------+-------+
7. Primitives
-------------
+------------+--------+---------------------+
| Ref Name | Used | Functional Category |
+------------+--------+---------------------+
| LUT6 | 121905 | LUT |
| FDRE | 88418 | Flop & Latch |
| LUT5 | 62878 | LUT |
| LUT4 | 40414 | LUT |
| LUT3 | 14779 | LUT |
| MUXF7 | 8148 | MuxFx |
| LUT2 | 5981 | LUT |
| MUXF8 | 3260 | MuxFx |
| FDSE | 903 | Flop & Latch |
| CARRY4 | 751 | CarryLogic |
| RAMD64E | 540 | Distributed Memory |
| LUT1 | 473 | LUT |
| RAMB36E1 | 95 | Block Memory |
| RAMD32 | 24 | Distributed Memory |
| RAMB18E1 | 13 | Block Memory |
| RAMS32 | 8 | Distributed Memory |
| FDCE | 8 | Flop & Latch |
| IBUF | 4 | IO |
| FDPE | 4 | Flop & Latch |
| BUFG | 4 | Clock |
| OBUF | 3 | IO |
| SRL16E | 2 | Distributed Memory |
| MMCME2_ADV | 1 | Clock |
| IDELAYCTRL | 1 | IO |
+------------+--------+---------------------+
8. Black Boxes
--------------
+----------+------+
| Ref Name | Used |
+----------+------+
9. Instantiated Netlists
------------------------
+----------+------+
| Ref Name | Used |
+----------+------+