You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

2332 lines
184 KiB
Plaintext

OpenROAD v2.0-1901-g6157d4945
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0223] Created 11 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0225] Created 437 library cells
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/6_1_fill.def
[INFO ODB-0128] Design: A2P_WB
[INFO ODB-0094] Created 100000 Insts
[INFO ODB-0094] Created 200000 Insts
[INFO ODB-0094] Created 300000 Insts
[INFO ODB-0094] Created 400000 Insts
[INFO ODB-0094] Created 500000 Insts
[INFO ODB-0094] Created 600000 Insts
[INFO ODB-0094] Created 700000 Insts
[INFO ODB-0094] Created 800000 Insts
[INFO ODB-0094] Created 900000 Insts
[INFO ODB-0094] Created 1000000 Insts
[INFO ODB-0094] Created 1100000 Insts
[INFO ODB-0094] Created 1200000 Insts
[INFO ODB-0094] Created 1300000 Insts
[INFO ODB-0094] Created 1400000 Insts
[INFO ODB-0094] Created 1500000 Insts
[INFO ODB-0094] Created 1600000 Insts
[INFO ODB-0094] Created 1700000 Insts
[INFO ODB-0094] Created 1800000 Insts
[INFO ODB-0094] Created 1900000 Insts
[INFO ODB-0094] Created 2000000 Insts
[INFO ODB-0094] Created 2100000 Insts
[INFO ODB-0094] Created 2200000 Insts
[INFO ODB-0094] Created 2300000 Insts
[INFO ODB-0094] Created 2400000 Insts
[INFO ODB-0094] Created 2500000 Insts
[INFO ODB-0094] Created 2600000 Insts
[INFO ODB-0130] Created 254 pins.
[INFO ODB-0131] Created 2678419 components and 5653812 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5356838 connections.
[INFO ODB-0133] Created 83035 nets and 296646 connections.
[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/6_1_fill.def
[INFO] Deleted 0 routing obstructions
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of A2P_WB ...
Notice 0: Split top of 33070 T shapes.
[INFO RCX-0435] Reading extraction model file ./platforms/sky130hd/rcx_patterns.rules ...
[INFO RCX-0436] RC segment generation A2P_WB (max_merge_res 50.0) ...
[INFO RCX-0040] Final 396372 rc segments
[INFO RCX-0439] Coupling Cap extraction A2P_WB ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 685634 wires to be extracted
[INFO RCX-0442] 6% completion -- 47380 wires have been extracted
[INFO RCX-0442] 24% completion -- 168836 wires have been extracted
[INFO RCX-0442] 43% completion -- 294827 wires have been extracted
[INFO RCX-0442] 50% completion -- 346294 wires have been extracted
[INFO RCX-0442] 56% completion -- 390568 wires have been extracted
[INFO RCX-0442] 67% completion -- 460385 wires have been extracted
[INFO RCX-0442] 78% completion -- 539385 wires have been extracted
[INFO RCX-0442] 91% completion -- 624206 wires have been extracted
[INFO RCX-0442] 99% completion -- 681306 wires have been extracted
[INFO RCX-0045] Extract 83035 nets, 479297 rsegs, 479297 caps, 832179 ccs
[INFO RCX-0015] Finished extracting A2P_WB.
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 83035 nets finished
[INFO RCX-0017] Finished writing SPEF ...
IR drop analysis for power nets is skipped because PWR_NETS_VOLTAGES is undefined
IR drop analysis for ground nets is skipped because GND_NETS_VOLTAGES is undefined
==========================================================================
finish report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[19] (input port clocked by clk)
Endpoint: _145476_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[19] (in)
1 0.03 externalResetVector[19] (net)
0.01 0.00 1.00 v input10/A (sky130_fd_sc_hd__buf_16)
0.02 0.10 1.10 v input10/X (sky130_fd_sc_hd__buf_16)
1 0.00 net10 (net)
0.02 0.00 1.10 v hold203/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.61 1.71 v hold203/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3068 (net)
0.11 0.00 1.72 v hold204/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 2.36 v hold204/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3069 (net)
0.11 0.00 2.36 v hold205/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 3.01 v hold205/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3070 (net)
0.11 0.00 3.01 v hold206/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 3.66 v hold206/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3071 (net)
0.11 0.00 3.67 v hold207/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 4.31 v hold207/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3072 (net)
0.11 0.00 4.31 v hold208/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 4.96 v hold208/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3073 (net)
0.11 0.00 4.96 v hold209/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 5.61 v hold209/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3074 (net)
0.11 0.00 5.61 v hold210/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 6.25 v hold210/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3075 (net)
0.11 0.00 6.26 v hold211/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 6.90 v hold211/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3076 (net)
0.11 0.00 6.90 v hold212/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 7.55 v hold212/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3077 (net)
0.11 0.00 7.55 v hold213/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.12 0.66 8.21 v hold213/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3078 (net)
0.12 0.00 8.21 v hold214/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 8.89 v hold214/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3079 (net)
0.14 0.00 8.89 v hold215/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 9.58 v hold215/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3080 (net)
0.14 0.00 9.58 v hold216/A (sky130_fd_sc_hd__clkbuf_4)
0.10 0.24 9.83 v hold216/X (sky130_fd_sc_hd__clkbuf_4)
2 0.05 net3067 (net)
0.10 0.00 9.83 v _122284_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.09 9.93 ^ _122284_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000052_ (net)
0.06 0.00 9.93 ^ _145476_/SET_B (sky130_fd_sc_hd__dfbbp_1)
9.93 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.59 0.01 2.76 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.30 3.06 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.26 0.00 3.06 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 3.25 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.15 0.00 3.25 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 3.48 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 3.49 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.67 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.15 0.00 3.68 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.89 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.20 0.00 3.89 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 4.07 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 4.07 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.61 0.49 4.56 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.61 0.01 4.57 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 4.85 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.23 0.00 4.85 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.44 0.38 5.61 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.44 0.01 5.61 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.87 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.22 0.00 5.87 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 6.06 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.14 0.00 6.06 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 6.19 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.08 0.00 6.19 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.62 0.49 6.68 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_4_6_3_clk (net)
0.62 0.00 6.69 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.24 6.93 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 6.93 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 7.17 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.23 0.00 7.17 ^ clkbuf_6_27_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.16 7.33 ^ clkbuf_6_27_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_27_0_clk (net)
0.11 0.00 7.33 ^ clkbuf_6_27_1_clk/A (sky130_fd_sc_hd__buf_1)
0.46 0.38 7.72 ^ clkbuf_6_27_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_27_1_clk (net)
0.46 0.00 7.72 ^ clkbuf_7_54_0_clk/A (sky130_fd_sc_hd__buf_1)
1.20 0.93 8.66 ^ clkbuf_7_54_0_clk/X (sky130_fd_sc_hd__buf_1)
10 0.10 clknet_7_54_0_clk (net)
1.20 0.00 8.66 ^ clkbuf_leaf_235_clk/A (sky130_fd_sc_hd__buf_1)
1.01 0.83 9.49 ^ clkbuf_leaf_235_clk/X (sky130_fd_sc_hd__buf_1)
24 0.09 clknet_leaf_235_clk (net)
1.01 0.00 9.49 ^ _145476_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 9.49 clock reconvergence pessimism
0.30 9.78 library removal time
9.78 data required time
-----------------------------------------------------------------------------
9.78 data required time
-9.93 data arrival time
-----------------------------------------------------------------------------
0.14 slack (MET)
Startpoint: _144887_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: _145489_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.27 0.00 0.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 1.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.25 1.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.25 0.00 1.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 1.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 1.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 1.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 1.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 1.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 2.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.55 2.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 2.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.30 2.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.26 0.00 2.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.20 3.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.16 0.00 3.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.25 3.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 3.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 3.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 3.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 4.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.57 0.46 4.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.57 0.01 4.49 ^ clkbuf_3_4_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 4.76 ^ clkbuf_3_4_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_4_0_clk (net)
0.23 0.00 4.77 ^ clkbuf_3_4_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 4.95 ^ clkbuf_3_4_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_1_clk (net)
0.13 0.00 4.95 ^ clkbuf_3_4_2_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.17 5.12 ^ clkbuf_3_4_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_2_clk (net)
0.14 0.00 5.12 ^ clkbuf_3_4_3_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 5.38 ^ clkbuf_3_4_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_3_4_3_clk (net)
0.28 0.00 5.39 ^ clkbuf_4_8_0_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.21 5.59 ^ clkbuf_4_8_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_0_clk (net)
0.16 0.00 5.60 ^ clkbuf_4_8_1_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 5.74 ^ clkbuf_4_8_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_1_clk (net)
0.10 0.00 5.74 ^ clkbuf_4_8_2_clk/A (sky130_fd_sc_hd__buf_1)
0.07 0.12 5.86 ^ clkbuf_4_8_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_2_clk (net)
0.07 0.00 5.86 ^ clkbuf_4_8_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.40 6.26 ^ clkbuf_4_8_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_8_3_clk (net)
0.50 0.01 6.27 ^ clkbuf_5_16_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 6.50 ^ clkbuf_5_16_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_16_0_clk (net)
0.18 0.00 6.50 ^ clkbuf_5_16_1_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.29 6.79 ^ clkbuf_5_16_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_16_1_clk (net)
0.31 0.00 6.79 ^ clkbuf_6_33_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.17 6.97 ^ clkbuf_6_33_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_33_0_clk (net)
0.11 0.00 6.97 ^ clkbuf_6_33_1_clk/A (sky130_fd_sc_hd__buf_1)
0.35 0.31 7.28 ^ clkbuf_6_33_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_33_1_clk (net)
0.35 0.00 7.28 ^ clkbuf_7_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.46 0.42 7.70 ^ clkbuf_7_66_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.04 clknet_7_66_0_clk (net)
0.46 0.00 7.70 ^ clkbuf_leaf_928_clk/A (sky130_fd_sc_hd__buf_1)
0.74 0.62 8.32 ^ clkbuf_leaf_928_clk/X (sky130_fd_sc_hd__buf_1)
17 0.06 clknet_leaf_928_clk (net)
0.74 0.00 8.32 ^ _144887_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.53 8.85 v _144887_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.04 dataCache_1_.stageB_mmuRsp_isIoAccess (net)
0.07 0.00 8.85 v _122189_/A (sky130_fd_sc_hd__clkinv_16)
0.22 0.17 9.02 ^ _122189_/Y (sky130_fd_sc_hd__clkinv_16)
1 0.35 _023083_ (net)
0.26 0.07 9.09 ^ _145489_/D (sky130_fd_sc_hd__dfxtp_4)
9.09 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.59 0.01 2.76 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.30 3.06 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.26 0.00 3.06 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 3.25 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.15 0.00 3.25 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 3.48 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 3.49 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.67 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.15 0.00 3.68 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.89 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.20 0.00 3.89 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 4.07 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 4.07 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.61 0.49 4.56 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.61 0.01 4.57 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 4.85 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.23 0.00 4.85 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.44 0.38 5.61 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.44 0.01 5.61 ^ clkbuf_4_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.25 5.86 ^ clkbuf_4_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_7_0_clk (net)
0.21 0.00 5.86 ^ clkbuf_4_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 6.03 ^ clkbuf_4_7_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_1_clk (net)
0.12 0.00 6.03 ^ clkbuf_4_7_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 6.16 ^ clkbuf_4_7_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_2_clk (net)
0.09 0.00 6.16 ^ clkbuf_4_7_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 6.57 ^ clkbuf_4_7_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_7_3_clk (net)
0.51 0.01 6.58 ^ clkbuf_5_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 6.85 ^ clkbuf_5_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_14_0_clk (net)
0.23 0.00 6.85 ^ clkbuf_5_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.34 0.32 7.17 ^ clkbuf_5_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_14_1_clk (net)
0.34 0.00 7.18 ^ clkbuf_6_29_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.37 ^ clkbuf_6_29_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_29_0_clk (net)
0.13 0.00 7.37 ^ clkbuf_6_29_1_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 7.58 ^ clkbuf_6_29_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_6_29_1_clk (net)
0.21 0.00 7.59 ^ clkbuf_7_59_0_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.52 8.10 ^ clkbuf_7_59_0_clk/X (sky130_fd_sc_hd__buf_1)
5 0.05 clknet_7_59_0_clk (net)
0.63 0.00 8.11 ^ clkbuf_opt_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.19 8.29 ^ clkbuf_opt_66_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_0_clk (net)
0.10 0.00 8.29 ^ clkbuf_opt_66_1_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 8.48 ^ clkbuf_opt_66_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_1_clk (net)
0.18 0.00 8.48 ^ clkbuf_opt_66_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.15 8.63 ^ clkbuf_opt_66_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_2_clk (net)
0.09 0.00 8.63 ^ clkbuf_opt_66_3_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 8.82 ^ clkbuf_opt_66_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_3_clk (net)
0.18 0.00 8.82 ^ clkbuf_opt_66_4_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 8.97 ^ clkbuf_opt_66_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_4_clk (net)
0.10 0.00 8.97 ^ clkbuf_opt_66_5_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 9.16 ^ clkbuf_opt_66_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_5_clk (net)
0.18 0.00 9.16 ^ clkbuf_opt_66_6_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.30 9.46 ^ clkbuf_opt_66_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_opt_66_6_clk (net)
0.31 0.00 9.46 ^ _145489_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.00 9.46 clock reconvergence pessimism
-0.04 9.42 library hold time
9.42 data required time
-----------------------------------------------------------------------------
9.42 data required time
-9.09 data arrival time
-----------------------------------------------------------------------------
-0.33 slack (VIOLATED)
==========================================================================
finish report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[25] (input port clocked by clk)
Endpoint: _145482_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[25] (in)
1 0.03 externalResetVector[25] (net)
0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16)
1 0.00 net17 (net)
0.02 0.00 1.08 ^ hold17/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.68 1.76 ^ hold17/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2882 (net)
0.25 0.00 1.76 ^ hold18/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.68 2.44 ^ hold18/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2883 (net)
0.17 0.00 2.44 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.09 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2884 (net)
0.16 0.00 3.10 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.75 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2885 (net)
0.16 0.00 3.75 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 4.42 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2886 (net)
0.17 0.00 4.42 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.08 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2887 (net)
0.17 0.00 5.08 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.74 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2888 (net)
0.17 0.00 5.74 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 6.40 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2889 (net)
0.16 0.00 6.40 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.65 7.06 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net2890 (net)
0.16 0.00 7.06 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.70 7.76 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2891 (net)
0.22 0.00 7.76 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.71 8.47 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2892 (net)
0.22 0.00 8.47 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 9.21 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2893 (net)
0.25 0.00 9.21 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.30 0.77 9.98 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net2894 (net)
0.30 0.00 9.98 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.73 10.71 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2895 (net)
0.23 0.00 10.71 ^ hold31/A (sky130_fd_sc_hd__clkbuf_4)
0.18 0.30 11.01 ^ hold31/X (sky130_fd_sc_hd__clkbuf_4)
2 0.06 net2881 (net)
0.18 0.00 11.01 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1)
0.10 0.13 11.14 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000063_ (net)
0.10 0.00 11.14 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.14 data arrival time
50.00 50.00 clock clk (rise edge)
0.00 50.00 clock source latency
0.00 0.00 50.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 50.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 50.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 50.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 50.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 50.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.27 0.00 50.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 51.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 51.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.25 51.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.25 0.00 51.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 51.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 51.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 51.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 51.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 51.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 51.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 52.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 52.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.55 52.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 52.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.30 52.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.26 0.00 52.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.20 53.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.16 0.00 53.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.25 53.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 53.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 53.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 53.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 54.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.57 0.46 54.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.57 0.01 54.49 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 54.76 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.22 0.00 54.76 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 54.94 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.13 0.00 54.94 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.20 55.14 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_2_clk (net)
0.19 0.00 55.14 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.54 0.45 55.60 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_5_3_clk (net)
0.54 0.01 55.61 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.29 55.90 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.26 0.00 55.90 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 56.08 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 56.09 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 56.23 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.10 0.00 56.23 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 56.64 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.50 0.01 56.65 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.24 56.89 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_23_0_clk (net)
0.19 0.00 56.89 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.43 57.32 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.50 0.00 57.32 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 57.52 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 57.52 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 57.88 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.42 0.00 57.89 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.98 0.79 58.67 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.09 clknet_7_94_0_clk (net)
0.98 0.01 58.68 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.98 59.66 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 59.66 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 59.66 clock reconvergence pessimism
0.10 59.76 library recovery time
59.76 data required time
-----------------------------------------------------------------------------
59.76 data required time
-11.14 data arrival time
-----------------------------------------------------------------------------
48.62 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.59 0.01 2.76 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.28 3.04 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.24 0.00 3.04 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.24 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.15 0.00 3.24 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.25 3.48 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.25 0.00 3.49 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 3.67 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 3.67 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.88 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.20 0.00 3.88 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.16 4.04 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.11 0.00 4.04 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.52 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.01 4.53 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 4.80 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 4.80 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.98 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.14 0.00 4.98 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 5.22 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_2_clk (net)
0.23 0.00 5.22 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.57 0.48 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_1_3_clk (net)
0.57 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 5.97 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.97 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 6.15 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 6.15 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 6.29 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.10 0.00 6.29 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.52 0.42 6.72 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.52 0.01 6.72 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.95 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 6.95 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 7.32 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 7.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.18 7.51 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.11 0.00 7.51 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.40 0.35 7.85 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_14_1_clk (net)
0.40 0.00 7.86 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.28 0.99 8.84 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.11 clknet_7_28_0_clk (net)
1.28 0.01 8.85 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.15 0.93 9.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.10 clknet_leaf_174_clk (net)
1.15 0.00 9.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.34 0.93 10.71 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.25 net78 (net)
0.34 0.01 10.72 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.16 10.88 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 10.88 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 11.09 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 11.09 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.99 0.71 11.80 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.32 net99 (net)
0.99 0.05 11.85 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.09 0.48 12.32 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.16 net100 (net)
0.24 0.11 12.43 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.22 12.66 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.02 iBusWB_STB (net)
0.08 0.00 12.66 v iBusWB_STB (out)
12.66 data arrival time
50.00 50.00 clock clk (rise edge)
0.00 50.00 clock network delay (propagated)
0.00 50.00 clock reconvergence pessimism
-1.00 49.00 output external delay
49.00 data required time
-----------------------------------------------------------------------------
49.00 data required time
-12.66 data arrival time
-----------------------------------------------------------------------------
36.34 slack (MET)
==========================================================================
finish report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[25] (input port clocked by clk)
Endpoint: _145482_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[25] (in)
1 0.03 externalResetVector[25] (net)
0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16)
1 0.00 net17 (net)
0.02 0.00 1.08 ^ hold17/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.68 1.76 ^ hold17/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2882 (net)
0.25 0.00 1.76 ^ hold18/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.68 2.44 ^ hold18/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2883 (net)
0.17 0.00 2.44 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.09 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2884 (net)
0.16 0.00 3.10 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.75 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2885 (net)
0.16 0.00 3.75 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 4.42 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2886 (net)
0.17 0.00 4.42 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.08 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2887 (net)
0.17 0.00 5.08 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.74 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2888 (net)
0.17 0.00 5.74 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 6.40 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2889 (net)
0.16 0.00 6.40 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.65 7.06 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net2890 (net)
0.16 0.00 7.06 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.70 7.76 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2891 (net)
0.22 0.00 7.76 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.71 8.47 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2892 (net)
0.22 0.00 8.47 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 9.21 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2893 (net)
0.25 0.00 9.21 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.30 0.77 9.98 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net2894 (net)
0.30 0.00 9.98 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.73 10.71 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2895 (net)
0.23 0.00 10.71 ^ hold31/A (sky130_fd_sc_hd__clkbuf_4)
0.18 0.30 11.01 ^ hold31/X (sky130_fd_sc_hd__clkbuf_4)
2 0.06 net2881 (net)
0.18 0.00 11.01 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1)
0.10 0.13 11.14 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000063_ (net)
0.10 0.00 11.14 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.14 data arrival time
50.00 50.00 clock clk (rise edge)
0.00 50.00 clock source latency
0.00 0.00 50.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 50.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 50.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 50.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 50.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 50.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 50.99 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.27 0.00 50.99 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 51.19 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 51.19 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.25 51.44 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.25 0.00 51.44 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 51.62 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 51.62 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 51.82 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 51.82 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 51.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 51.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 52.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 52.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.55 52.67 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 52.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.30 52.98 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.26 0.00 52.99 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.20 53.18 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.16 0.00 53.19 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.25 53.43 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 53.43 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 53.61 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 53.61 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 53.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 53.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 54.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 54.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.57 0.46 54.49 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.57 0.01 54.49 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 54.76 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.22 0.00 54.76 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 54.94 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.13 0.00 54.94 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.20 55.14 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_2_clk (net)
0.19 0.00 55.14 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.54 0.45 55.60 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_5_3_clk (net)
0.54 0.01 55.61 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.29 55.90 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.26 0.00 55.90 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 56.08 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 56.09 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 56.23 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.10 0.00 56.23 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 56.64 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.50 0.01 56.65 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.24 56.89 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_23_0_clk (net)
0.19 0.00 56.89 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.43 57.32 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.50 0.00 57.32 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 57.52 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 57.52 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 57.88 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.42 0.00 57.89 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.98 0.79 58.67 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.09 clknet_7_94_0_clk (net)
0.98 0.01 58.68 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.98 59.66 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 59.66 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 59.66 clock reconvergence pessimism
0.10 59.76 library recovery time
59.76 data required time
-----------------------------------------------------------------------------
59.76 data required time
-11.14 data arrival time
-----------------------------------------------------------------------------
48.62 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 1.00 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.28 0.00 1.00 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.19 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.19 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.26 0.00 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 1.65 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.65 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.28 1.93 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.29 0.00 1.93 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 2.13 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.13 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.28 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.10 0.00 2.28 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.47 2.75 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.59 0.01 2.76 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.28 3.04 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.24 0.00 3.04 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.24 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.15 0.00 3.24 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.25 3.48 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.25 0.00 3.49 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 3.67 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 3.67 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.88 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.20 0.00 3.88 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.16 4.04 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.11 0.00 4.04 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.52 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.01 4.53 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 4.80 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 4.80 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.98 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.14 0.00 4.98 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 5.22 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_2_clk (net)
0.23 0.00 5.22 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.57 0.48 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_1_3_clk (net)
0.57 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 5.97 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.97 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 6.15 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 6.15 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 6.29 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.10 0.00 6.29 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.52 0.42 6.72 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.52 0.01 6.72 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.95 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 6.95 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 7.32 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 7.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.18 7.51 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.11 0.00 7.51 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.40 0.35 7.85 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_14_1_clk (net)
0.40 0.00 7.86 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.28 0.99 8.84 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.11 clknet_7_28_0_clk (net)
1.28 0.01 8.85 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.15 0.93 9.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.10 clknet_leaf_174_clk (net)
1.15 0.00 9.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.34 0.93 10.71 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.25 net78 (net)
0.34 0.01 10.72 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.16 10.88 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 10.88 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 11.09 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 11.09 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.99 0.71 11.80 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.32 net99 (net)
0.99 0.05 11.85 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.09 0.48 12.32 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.16 net100 (net)
0.24 0.11 12.43 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.08 0.22 12.66 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.02 iBusWB_STB (net)
0.08 0.00 12.66 v iBusWB_STB (out)
12.66 data arrival time
50.00 50.00 clock clk (rise edge)
0.00 50.00 clock network delay (propagated)
0.00 50.00 clock reconvergence pessimism
-1.00 49.00 output external delay
49.00 data required time
-----------------------------------------------------------------------------
49.00 data required time
-12.66 data arrival time
-----------------------------------------------------------------------------
36.34 slack (MET)
==========================================================================
finish report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
_098691_/Y 1.50 1.87 -0.38 (VIOLATED)
_099021_/B1 1.50 1.87 -0.37 (VIOLATED)
_094945_/Y 1.49 1.80 -0.31 (VIOLATED)
_095270_/B1 1.50 1.80 -0.30 (VIOLATED)
_083721_/A 1.50 1.74 -0.24 (VIOLATED)
_066290_/A 1.50 1.73 -0.23 (VIOLATED)
_081683_/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED)
_069108_/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED)
_082228_/A 1.50 1.72 -0.22 (VIOLATED)
_099700_/A 1.50 1.72 -0.22 (VIOLATED)
_084887_/C1 1.50 1.71 -0.21 (VIOLATED)
_061742_/B 1.50 1.71 -0.21 (VIOLATED)
_084888_/A1 1.50 1.71 -0.21 (VIOLATED)
_082253_/A 1.50 1.71 -0.21 (VIOLATED)
_065499_/A 1.50 1.70 -0.20 (VIOLATED)
_061898_/A 1.50 1.70 -0.20 (VIOLATED)
_073774_/A 1.50 1.69 -0.19 (VIOLATED)
_090030_/A 1.50 1.69 -0.19 (VIOLATED)
_061928_/A 1.50 1.69 -0.19 (VIOLATED)
_070050_/A 1.50 1.69 -0.19 (VIOLATED)
_097459_/Y 1.49 1.68 -0.19 (VIOLATED)
_072685_/A 1.50 1.69 -0.19 (VIOLATED)
_065840_/B 1.50 1.68 -0.18 (VIOLATED)
_099701_/A1 1.50 1.68 -0.18 (VIOLATED)
_065076_/A 1.50 1.68 -0.18 (VIOLATED)
_100286_/C1 1.50 1.68 -0.18 (VIOLATED)
_100285_/Y 1.50 1.68 -0.18 (VIOLATED)
_097761_/B1 1.50 1.68 -0.18 (VIOLATED)
_088698_/Y 1.50 1.67 -0.17 (VIOLATED)
_088776_/C1 1.50 1.67 -0.17 (VIOLATED)
_094034_/B1 1.50 1.66 -0.16 (VIOLATED)
_094033_/Y 1.50 1.66 -0.16 (VIOLATED)
_061740_/X 1.51 1.67 -0.16 (VIOLATED)
_081968_/A 1.50 1.63 -0.13 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.62 -0.12 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.62 -0.11 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.61 -0.11 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.61 -0.11 (VIOLATED)
_101103_/A 1.50 1.61 -0.11 (VIOLATED)
_081774_/A 1.50 1.61 -0.11 (VIOLATED)
_082273_/A 1.50 1.61 -0.11 (VIOLATED)
_084691_/A 1.50 1.61 -0.11 (VIOLATED)
_082034_/A 1.50 1.61 -0.11 (VIOLATED)
_083717_/A 1.50 1.61 -0.11 (VIOLATED)
_101104_/A1 1.50 1.61 -0.11 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.61 -0.11 (VIOLATED)
_082148_/A 1.50 1.60 -0.10 (VIOLATED)
_083935_/A 1.50 1.59 -0.09 (VIOLATED)
_089822_/A 1.50 1.59 -0.09 (VIOLATED)
_086087_/A 1.50 1.59 -0.09 (VIOLATED)
_080731_/A 1.50 1.59 -0.09 (VIOLATED)
_083288_/A 1.50 1.59 -0.09 (VIOLATED)
_080729_/Y 1.50 1.59 -0.09 (VIOLATED)
_082872_/C1 1.50 1.56 -0.06 (VIOLATED)
_101819_/Y 1.50 1.55 -0.05 (VIOLATED)
_119647_/A2 1.50 1.55 -0.05 (VIOLATED)
_101937_/B 1.50 1.55 -0.05 (VIOLATED)
_083495_/A 1.50 1.55 -0.05 (VIOLATED)
_122111_/A1 1.50 1.55 -0.05 (VIOLATED)
_081604_/A 1.50 1.55 -0.05 (VIOLATED)
_080321_/A 1.50 1.55 -0.05 (VIOLATED)
_080313_/X 1.51 1.55 -0.04 (VIOLATED)
_116075_/B 1.50 1.52 -0.02 (VIOLATED)
_115613_/B 1.50 1.52 -0.02 (VIOLATED)
_115147_/B 1.50 1.52 -0.02 (VIOLATED)
_117857_/B 1.50 1.52 -0.02 (VIOLATED)
_111955_/B 1.50 1.52 -0.02 (VIOLATED)
_115298_/B 1.50 1.52 -0.02 (VIOLATED)
_116047_/B 1.50 1.52 -0.02 (VIOLATED)
_117938_/B 1.50 1.52 -0.02 (VIOLATED)
_115511_/B 1.50 1.52 -0.02 (VIOLATED)
_115379_/B 1.50 1.52 -0.02 (VIOLATED)
_115709_/B 1.50 1.52 -0.02 (VIOLATED)
_116312_/B 1.50 1.52 -0.02 (VIOLATED)
_117749_/B 1.50 1.52 -0.02 (VIOLATED)
_111121_/B 1.50 1.50 -0.00 (VIOLATED)
_111845_/B 1.50 1.50 -0.00 (VIOLATED)
_111273_/B 1.50 1.50 -0.00 (VIOLATED)
_111657_/B 1.50 1.50 -0.00 (VIOLATED)
_111544_/B 1.50 1.50 -0.00 (VIOLATED)
_111488_/B 1.50 1.50 -0.00 (VIOLATED)
_111712_/B 1.50 1.50 -0.00 (VIOLATED)
_118154_/B 1.50 1.50 -0.00 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
_061740_/X 0.54 0.59 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
_098691_/Y 0.14 0.18 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
_094945_/Y 0.11 0.14 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
_080729_/Y 0.43 0.46 -0.02 (VIOLATED)
_088698_/Y 0.14 0.17 -0.02 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
_097459_/Y 0.11 0.13 -0.02 (VIOLATED)
_100285_/Y 0.11 0.12 -0.02 (VIOLATED)
_094033_/Y 0.11 0.12 -0.02 (VIOLATED)
_080313_/X 0.51 0.53 -0.02 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
_101819_/Y 0.15 0.16 -0.01 (VIOLATED)
_107077_/Y 0.11 0.11 -0.00 (VIOLATED)
_084089_/Y 0.11 0.11 -0.00 (VIOLATED)
==========================================================================
finish max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 124
==========================================================================
finish max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
finish max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 1007
==========================================================================
finish report_tns
--------------------------------------------------------------------------
tns 0.00
==========================================================================
finish report_wns
--------------------------------------------------------------------------
wns 0.00
==========================================================================
finish report_worst_slack
--------------------------------------------------------------------------
worst slack 36.34
==========================================================================
finish report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
9.66
_143176_/CLK ^
8.05 0.00 1.62
==========================================================================
finish report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 2.00e-02 6.77e-04 2.04e-07 2.07e-02 57.4%
Combinational 3.53e-03 1.18e-02 2.28e-07 1.54e-02 42.6%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 2.36e-02 1.25e-02 4.32e-07 3.61e-02 100.0%
65.3% 34.7% 0.0%
==========================================================================
finish report_design_area
--------------------------------------------------------------------------
Design area 1432728 u^2 7% utilization.
qt.qpa.xcb: X server does not support XInput 2
failed to get the current screen resources
QStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-wtf'
qt.qpa.xcb: QXcbConnection: XCB error: 1 (BadRequest), sequence: 164, resource id: 90, major code: 130 (Unknown), minor code: 47
qt.qpa.xcb: QXcbConnection: XCB error: 170 (Unknown), sequence: 177, resource id: 90, major code: 146 (Unknown), minor code: 20
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_placement.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_clocks.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_resizer.webp.png
Elapsed time: 2:19.53[h:]min:sec. CPU time: user 136.79 sys 2.15 (99%). Peak memory: 5554164KB.