You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

10275 lines
712 KiB
Plaintext

OpenROAD v2.0-1901-g6157d4945
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0223] Created 11 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0225] Created 437 library cells
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/3_place.def
[INFO ODB-0128] Design: A2P_WB
[INFO ODB-0094] Created 100000 Insts
[INFO ODB-0094] Created 200000 Insts
[INFO ODB-0094] Created 300000 Insts
[INFO ODB-0130] Created 254 pins.
[INFO ODB-0131] Created 347321 components and 986816 component-terminals.
[INFO ODB-0132] Created 2 special nets and 694642 connections.
[INFO ODB-0133] Created 80635 nets and 291846 connections.
[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/3_place.def
[INFO CTS-0039] Number of created patterns = 9360.
[INFO CTS-0084] Compiling LUT.
Min. len Max. len Min. cap Max. cap Min. slew Max. slew
2 8 1 29 1 244
[WARNING CTS-0043] 1248 wires are pure wire and no slew degradation.
TritonCTS forced slew degradation on these wires.
[INFO CTS-0046] Number of wire segments: 9360.
[INFO CTS-0047] Number of keys in characterization LUT: 1596.
[INFO CTS-0048] Actual min input cap: 1.
[INFO CTS-0007] Net "clk" found for clock "clk".
[INFO CTS-0010] Clock net "clk" has 23266 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\]" has 8 sinks.
[INFO CTS-0010] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\]" has 8 sinks.
[INFO CTS-0008] TritonCTS found 125 clock nets.
[INFO CTS-0097] Characterization used 1 buffer(s) types.
[INFO CTS-0027] Generating H-Tree topology for net clk.
[INFO CTS-0028] Total number of sinks: 23266.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0019] Total number of sinks after clustering: 957.
[INFO CTS-0024] Normalized sink region: [(112.569, 85.2754), (346.577, 290.094)].
[INFO CTS-0025] Width: 234.0084.
[INFO CTS-0026] Height: 204.8185.
Level 1
Direction: Horizontal
Sinks per sub-region: 479
Sub-region size: 117.0042 X 204.8185
[INFO CTS-0034] Segment length (rounded): 58.
Key: 336 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 21 outSlew: 11 load: 1 length: 2 isBuffered: true
Level 2
Direction: Vertical
Sinks per sub-region: 240
Sub-region size: 117.0042 X 102.4092
[INFO CTS-0034] Segment length (rounded): 52.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 55 outSlew: 11 load: 1 length: 4 isBuffered: true
Level 3
Direction: Horizontal
Sinks per sub-region: 120
Sub-region size: 58.5021 X 102.4092
[INFO CTS-0034] Segment length (rounded): 30.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 130 outSlew: 11 load: 1 length: 6 isBuffered: true
Level 4
Direction: Vertical
Sinks per sub-region: 60
Sub-region size: 58.5021 X 51.2046
[INFO CTS-0034] Segment length (rounded): 26.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 274 outSlew: 11 load: 1 length: 8 isBuffered: true
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 21 outSlew: 11 load: 1 length: 2 isBuffered: true
Level 5
Direction: Horizontal
Sinks per sub-region: 30
Sub-region size: 29.2510 X 51.2046
[INFO CTS-0034] Segment length (rounded): 14.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 130 outSlew: 11 load: 1 length: 6 isBuffered: true
Level 6
Direction: Vertical
Sinks per sub-region: 15
Sub-region size: 29.2510 X 25.6023
[INFO CTS-0034] Segment length (rounded): 12.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Key: 57 outSlew: 11 load: 1 length: 4 isBuffered: true
Out of 37 sinks, 1 sinks closer to other cluster.
Out of 25 sinks, 1 sinks closer to other cluster.
Out of 22 sinks, 2 sinks closer to other cluster.
Level 7
Direction: Horizontal
Sinks per sub-region: 8
Sub-region size: 14.6255 X 25.6023
[INFO CTS-0034] Segment length (rounded): 8.
Key: 345 outSlew: 25 load: 1 length: 8 isBuffered: true
Out of 19 sinks, 1 sinks closer to other cluster.
Out of 13 sinks, 1 sinks closer to other cluster.
Out of 8 sinks, 1 sinks closer to other cluster.
Out of 13 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 957.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2780505, 1119340), (2785105, 1146540)].
[INFO CTS-0024] Normalized sink region: [(213.885, 86.1031), (214.239, 88.1954)].
[INFO CTS-0025] Width: 0.3538.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3538 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933685, 1255340), (2952545, 1274260)].
[INFO CTS-0024] Normalized sink region: [(225.668, 96.5646), (227.119, 98.02)].
[INFO CTS-0025] Width: 1.4508.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4508 X 0.7277
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933225, 1116500), (2950245, 1138260)].
[INFO CTS-0024] Normalized sink region: [(225.633, 85.8846), (226.942, 87.5585)].
[INFO CTS-0025] Width: 1.3092.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3092 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2765785, 1249900), (2786415, 1279700)].
[INFO CTS-0024] Normalized sink region: [(212.753, 96.1462), (214.34, 98.4385)].
[INFO CTS-0025] Width: 1.5869.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.5869 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2839385, 1135660), (2862385, 1157420)].
[INFO CTS-0024] Normalized sink region: [(218.414, 87.3585), (220.183, 89.0323)].
[INFO CTS-0025] Width: 1.7692.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.8846 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3016485, 1285140), (3034815, 1315180)].
[INFO CTS-0024] Normalized sink region: [(232.037, 98.8569), (233.447, 101.168)].
[INFO CTS-0025] Width: 1.4100.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4100 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3016485, 1143700), (3048615, 1162860)].
[INFO CTS-0024] Normalized sink region: [(232.037, 87.9769), (234.509, 89.4508)].
[INFO CTS-0025] Width: 2.4715.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2358 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2823285, 1285140), (2854105, 1296020)].
[INFO CTS-0024] Normalized sink region: [(217.176, 98.8569), (219.547, 99.6938)].
[INFO CTS-0025] Width: 2.3708.
[INFO CTS-0026] Height: 0.8369.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1854 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2807645, 1138260), (2841615, 1157420)].
[INFO CTS-0024] Normalized sink region: [(215.973, 87.5585), (218.586, 89.0323)].
[INFO CTS-0025] Width: 2.6131.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.3065 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2988885, 1247060), (3009055, 1279700)].
[INFO CTS-0024] Normalized sink region: [(229.914, 95.9277), (231.466, 98.4385)].
[INFO CTS-0025] Width: 1.5515.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.5515 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2973245, 1143700), (2999465, 1157420)].
[INFO CTS-0024] Normalized sink region: [(228.711, 87.9769), (230.728, 89.0323)].
[INFO CTS-0025] Width: 2.0169.
[INFO CTS-0026] Height: 1.0554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0085 X 1.0554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2815925, 1249900), (2843915, 1282540)].
[INFO CTS-0024] Normalized sink region: [(216.61, 96.1462), (218.763, 98.6569)].
[INFO CTS-0025] Width: 2.1531.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 2.1531 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2849965, 1108460), (2854565, 1130220)].
[INFO CTS-0024] Normalized sink region: [(219.228, 85.2662), (219.582, 86.94)].
[INFO CTS-0025] Width: 0.3538.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3538 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3029365, 1249900), (3039485, 1282540)].
[INFO CTS-0024] Normalized sink region: [(233.028, 96.1462), (233.807, 98.6569)].
[INFO CTS-0025] Width: 0.7785.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.7785 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3028905, 1113900), (3033965, 1141100)].
[INFO CTS-0024] Normalized sink region: [(232.993, 85.6846), (233.382, 87.7769)].
[INFO CTS-0025] Width: 0.3892.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3892 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2837085, 1247060), (2848585, 1282540)].
[INFO CTS-0024] Normalized sink region: [(218.237, 95.9277), (219.122, 98.6569)].
[INFO CTS-0025] Width: 0.8846.
[INFO CTS-0026] Height: 2.7292.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.8846 X 1.3646
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2891365, 1113900), (2917585, 1138260)].
[INFO CTS-0024] Normalized sink region: [(222.413, 85.6846), (224.43, 87.5585)].
[INFO CTS-0025] Width: 2.0169.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0085 X 1.8738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3056045, 1252500), (3057885, 1277100)].
[INFO CTS-0024] Normalized sink region: [(235.08, 96.3462), (235.222, 98.2385)].
[INFO CTS-0025] Width: 0.1415.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.1415 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3044085, 1116500), (3062025, 1146540)].
[INFO CTS-0024] Normalized sink region: [(234.16, 85.8846), (235.54, 88.1954)].
[INFO CTS-0025] Width: 1.3800.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3800 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2862385, 1252500), (2876185, 1282540)].
[INFO CTS-0024] Normalized sink region: [(220.183, 96.3462), (221.245, 98.6569)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2795685, 1105620), (2809485, 1138260)].
[INFO CTS-0024] Normalized sink region: [(215.053, 85.0477), (216.114, 87.5585)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3013265, 1255340), (3016025, 1279700)].
[INFO CTS-0024] Normalized sink region: [(231.79, 96.5646), (232.002, 98.4385)].
[INFO CTS-0025] Width: 0.2123.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.2123 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3002685, 1108460), (3021015, 1143700)].
[INFO CTS-0024] Normalized sink region: [(230.976, 85.2662), (232.386, 87.9769)].
[INFO CTS-0025] Width: 1.4100.
[INFO CTS-0026] Height: 2.7108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4100 X 1.3554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2794765, 1249900), (2799825, 1279700)].
[INFO CTS-0024] Normalized sink region: [(214.982, 96.1462), (215.371, 98.4385)].
[INFO CTS-0025] Width: 0.3892.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3892 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2864685, 1108460), (2896815, 1130220)].
[INFO CTS-0024] Normalized sink region: [(220.36, 85.2662), (222.832, 86.94)].
[INFO CTS-0025] Width: 2.4715.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2358 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3077665, 1249900), (3084565, 1279700)].
[INFO CTS-0024] Normalized sink region: [(236.743, 96.1462), (237.274, 98.4385)].
[INFO CTS-0025] Width: 0.5308.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.5308 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3073985, 1121940), (3107565, 1138260)].
[INFO CTS-0024] Normalized sink region: [(236.46, 86.3031), (239.043, 87.5585)].
[INFO CTS-0025] Width: 2.5831.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2915 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2880785, 1252500), (2902405, 1279700)].
[INFO CTS-0024] Normalized sink region: [(221.599, 96.3462), (223.262, 98.4385)].
[INFO CTS-0025] Width: 1.6631.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.6631 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2766245, 1219860), (2788255, 1247060)].
[INFO CTS-0024] Normalized sink region: [(212.788, 93.8354), (214.481, 95.9277)].
[INFO CTS-0025] Width: 1.6931.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.6931 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2975085, 1358700), (2993415, 1396780)].
[INFO CTS-0024] Normalized sink region: [(228.853, 104.515), (230.263, 107.445)].
[INFO CTS-0025] Width: 1.4100.
[INFO CTS-0026] Height: 2.9292.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4100 X 1.4646
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933685, 1225300), (2965815, 1244460)].
[INFO CTS-0024] Normalized sink region: [(225.668, 94.2538), (228.14, 95.7277)].
[INFO CTS-0025] Width: 2.4715.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2358 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2761645, 1366740), (2780505, 1388500)].
[INFO CTS-0024] Normalized sink region: [(212.434, 105.134), (213.885, 106.808)].
[INFO CTS-0025] Width: 1.4508.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4508 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2889985, 1222700), (2906085, 1249900)].
[INFO CTS-0024] Normalized sink region: [(222.307, 94.0538), (223.545, 96.1462)].
[INFO CTS-0025] Width: 1.2385.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.2385 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3041785, 1366740), (3055585, 1393940)].
[INFO CTS-0024] Normalized sink region: [(233.983, 105.134), (235.045, 107.226)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3054665, 1217260), (3071225, 1249900)].
[INFO CTS-0024] Normalized sink region: [(234.974, 93.6354), (236.248, 96.1462)].
[INFO CTS-0025] Width: 1.2738.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.2738 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2886305, 1366740), (2905165, 1393940)].
[INFO CTS-0024] Normalized sink region: [(222.023, 105.134), (223.474, 107.226)].
[INFO CTS-0025] Width: 1.4508.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4508 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2754285, 1108460), (2767625, 1135660)].
[INFO CTS-0024] Normalized sink region: [(211.868, 85.2662), (212.894, 87.3585)].
[INFO CTS-0025] Width: 1.0262.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0262 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2961285, 1252500), (2993415, 1285140)].
[INFO CTS-0024] Normalized sink region: [(227.791, 96.3462), (230.263, 98.8569)].
[INFO CTS-0025] Width: 2.4715.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 2.4715 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2959445, 1111060), (2970485, 1138260)].
[INFO CTS-0024] Normalized sink region: [(227.65, 85.4662), (228.499, 87.5585)].
[INFO CTS-0025] Width: 0.8492.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.8492 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2738645, 1255340), (2772615, 1274260)].
[INFO CTS-0024] Normalized sink region: [(210.665, 96.5646), (213.278, 98.02)].
[INFO CTS-0025] Width: 2.6131.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.3065 X 1.4554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2865145, 1217260), (2879405, 1244460)].
[INFO CTS-0024] Normalized sink region: [(220.396, 93.6354), (221.493, 95.7277)].
[INFO CTS-0025] Width: 1.0969.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0969 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3016485, 1366740), (3029825, 1396780)].
[INFO CTS-0024] Normalized sink region: [(232.037, 105.134), (233.063, 107.445)].
[INFO CTS-0025] Width: 1.0262.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0262 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3029825, 1217260), (3061495, 1241620)].
[INFO CTS-0024] Normalized sink region: [(233.063, 93.6354), (235.5, 95.5092)].
[INFO CTS-0025] Width: 2.4362.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2181 X 1.8738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2864685, 1364140), (2876185, 1393940)].
[INFO CTS-0024] Normalized sink region: [(220.36, 104.934), (221.245, 107.226)].
[INFO CTS-0025] Width: 0.8846.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.8846 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2795225, 1219860), (2797985, 1241620)].
[INFO CTS-0024] Normalized sink region: [(215.017, 93.8354), (215.23, 95.5092)].
[INFO CTS-0025] Width: 0.2123.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.2123 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2958525, 1358700), (2972785, 1402220)].
[INFO CTS-0024] Normalized sink region: [(227.579, 104.515), (228.676, 107.863)].
[INFO CTS-0025] Width: 1.0969.
[INFO CTS-0026] Height: 3.3477.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0969 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2961745, 1219860), (2976465, 1247060)].
[INFO CTS-0024] Normalized sink region: [(227.827, 93.8354), (228.959, 95.9277)].
[INFO CTS-0025] Width: 1.1323.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.1323 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2793845, 1361300), (2796145, 1388500)].
[INFO CTS-0024] Normalized sink region: [(214.911, 104.715), (215.088, 106.808)].
[INFO CTS-0025] Width: 0.1769.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.1769 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2835705, 1184620), (2854495, 1214420)].
[INFO CTS-0024] Normalized sink region: [(218.131, 91.1246), (219.577, 93.4169)].
[INFO CTS-0025] Width: 1.4454.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4454 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3031205, 1342380), (3053745, 1361300)].
[INFO CTS-0024] Normalized sink region: [(233.17, 103.26), (234.903, 104.715)].
[INFO CTS-0025] Width: 1.7338.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.8669 X 1.4554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3034885, 1200940), (3060645, 1217260)].
[INFO CTS-0024] Normalized sink region: [(233.453, 92.38), (235.434, 93.6354)].
[INFO CTS-0025] Width: 1.9815.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9908 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2827885, 1347820), (2869215, 1364140)].
[INFO CTS-0024] Normalized sink region: [(217.53, 103.678), (220.709, 104.934)].
[INFO CTS-0025] Width: 3.1792.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.5896 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 2 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2842605, 1217260), (2869675, 1249900)].
[INFO CTS-0024] Normalized sink region: [(218.662, 93.6354), (220.744, 96.1462)].
[INFO CTS-0025] Width: 2.0823.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 2.0823 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2990265, 1364140), (3004065, 1388500)].
[INFO CTS-0024] Normalized sink region: [(230.02, 104.934), (231.082, 106.808)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2987045, 1206380), (2989805, 1236180)].
[INFO CTS-0024] Normalized sink region: [(229.773, 92.7985), (229.985, 95.0908)].
[INFO CTS-0025] Width: 0.2123.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.2123 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2808565, 1364140), (2830185, 1391340)].
[INFO CTS-0024] Normalized sink region: [(216.043, 104.934), (217.707, 107.026)].
[INFO CTS-0025] Width: 1.6631.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.6631 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2740485, 1222700), (2770315, 1244460)].
[INFO CTS-0024] Normalized sink region: [(210.807, 94.0538), (213.101, 95.7277)].
[INFO CTS-0025] Width: 2.2946.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1473 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2935525, 1361300), (2947485, 1393940)].
[INFO CTS-0024] Normalized sink region: [(225.81, 104.715), (226.73, 107.226)].
[INFO CTS-0025] Width: 0.9200.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.9200 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2930005, 1203540), (2965815, 1222700)].
[INFO CTS-0024] Normalized sink region: [(225.385, 92.58), (228.14, 94.0538)].
[INFO CTS-0025] Width: 2.7546.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.3773 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2738645, 1344980), (2758425, 1364140)].
[INFO CTS-0024] Normalized sink region: [(210.665, 103.46), (212.187, 104.934)].
[INFO CTS-0025] Width: 1.5215.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.7608 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2818685, 1217260), (2823285, 1244460)].
[INFO CTS-0024] Normalized sink region: [(216.822, 93.6354), (217.176, 95.7277)].
[INFO CTS-0025] Width: 0.3538.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3538 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2988885, 1347820), (3014645, 1364140)].
[INFO CTS-0024] Normalized sink region: [(229.914, 103.678), (231.896, 104.934)].
[INFO CTS-0025] Width: 1.9815.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9908 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3001765, 1217260), (3015565, 1249900)].
[INFO CTS-0024] Normalized sink region: [(230.905, 93.6354), (231.967, 96.1462)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2776365, 1350420), (2813625, 1364140)].
[INFO CTS-0024] Normalized sink region: [(213.567, 103.878), (216.433, 104.934)].
[INFO CTS-0025] Width: 2.8662.
[INFO CTS-0026] Height: 1.0554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.4331 X 1.0554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2807185, 1187220), (2822825, 1211820)].
[INFO CTS-0024] Normalized sink region: [(215.937, 91.3246), (217.14, 93.2169)].
[INFO CTS-0025] Width: 1.2031.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.2031 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3009125, 1312340), (3022005, 1342380)].
[INFO CTS-0024] Normalized sink region: [(231.471, 100.949), (232.462, 103.26)].
[INFO CTS-0025] Width: 0.9908.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.9908 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3001765, 1187220), (3021015, 1211820)].
[INFO CTS-0024] Normalized sink region: [(230.905, 91.3246), (232.386, 93.2169)].
[INFO CTS-0025] Width: 1.4808.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4808 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2794765, 1317780), (2809485, 1347820)].
[INFO CTS-0024] Normalized sink region: [(214.982, 101.368), (216.114, 103.678)].
[INFO CTS-0025] Width: 1.1323.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.1323 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2873885, 1157420), (2885385, 1179180)].
[INFO CTS-0024] Normalized sink region: [(221.068, 89.0323), (221.953, 90.7062)].
[INFO CTS-0025] Width: 0.8846.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.8846 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3073985, 1296020), (3126815, 1315180)].
[INFO CTS-0024] Normalized sink region: [(236.46, 99.6938), (240.524, 101.168)].
[INFO CTS-0025] Width: 4.0638.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 2.0319 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3081345, 1151980), (3117615, 1179180)].
[INFO CTS-0024] Normalized sink region: [(237.027, 88.6138), (239.817, 90.7062)].
[INFO CTS-0025] Width: 2.7900.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.3950 X 2.0923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2876185, 1301460), (2905165, 1315180)].
[INFO CTS-0024] Normalized sink region: [(221.245, 100.112), (223.474, 101.168)].
[INFO CTS-0025] Width: 2.2292.
[INFO CTS-0026] Height: 1.0554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1146 X 1.0554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2880785, 1203540), (2919425, 1217260)].
[INFO CTS-0024] Normalized sink region: [(221.599, 92.58), (224.571, 93.6354)].
[INFO CTS-0025] Width: 2.9723.
[INFO CTS-0026] Height: 1.0554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.4862 X 1.0554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3060185, 1342380), (3083185, 1372180)].
[INFO CTS-0024] Normalized sink region: [(235.399, 103.26), (237.168, 105.552)].
[INFO CTS-0025] Width: 1.7692.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.7692 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3079965, 1184620), (3097905, 1214420)].
[INFO CTS-0024] Normalized sink region: [(236.92, 91.1246), (238.3, 93.4169)].
[INFO CTS-0025] Width: 1.3800.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3800 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2878485, 1344980), (2917585, 1361300)].
[INFO CTS-0024] Normalized sink region: [(221.422, 103.46), (224.43, 104.715)].
[INFO CTS-0025] Width: 3.0077.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.5038 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2738645, 1179180), (2765325, 1195500)].
[INFO CTS-0024] Normalized sink region: [(210.665, 90.7062), (212.717, 91.9615)].
[INFO CTS-0025] Width: 2.0523.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0262 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2935985, 1301460), (2956685, 1320620)].
[INFO CTS-0024] Normalized sink region: [(225.845, 100.112), (227.437, 101.586)].
[INFO CTS-0025] Width: 1.5923.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.7962 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933685, 1162860), (2956685, 1179180)].
[INFO CTS-0024] Normalized sink region: [(225.668, 89.4508), (227.437, 90.7062)].
[INFO CTS-0025] Width: 1.7692.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.8846 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2740485, 1298860), (2758885, 1317780)].
[INFO CTS-0024] Normalized sink region: [(210.807, 99.9123), (212.222, 101.368)].
[INFO CTS-0025] Width: 1.4154.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4154 X 0.7277
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2823285, 1108460), (2827885, 1132820)].
[INFO CTS-0024] Normalized sink region: [(217.176, 85.2662), (217.53, 87.14)].
[INFO CTS-0025] Width: 0.3538.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.3538 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2986585, 1315180), (2992105, 1342380)].
[INFO CTS-0024] Normalized sink region: [(229.737, 101.168), (230.162, 103.26)].
[INFO CTS-0025] Width: 0.4246.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.4246 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2985665, 1113900), (2988885, 1135660)].
[INFO CTS-0024] Normalized sink region: [(229.667, 85.6846), (229.914, 87.3585)].
[INFO CTS-0025] Width: 0.2477.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.2477 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2811325, 1298860), (2834785, 1320620)].
[INFO CTS-0024] Normalized sink region: [(216.256, 99.9123), (218.06, 101.586)].
[INFO CTS-0025] Width: 1.8046.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9023 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2866985, 1135660), (2908845, 1154580)].
[INFO CTS-0024] Normalized sink region: [(220.537, 87.3585), (223.757, 88.8138)].
[INFO CTS-0025] Width: 3.2200.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.6100 X 1.4554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3076285, 1279700), (3111705, 1296020)].
[INFO CTS-0024] Normalized sink region: [(236.637, 98.4385), (239.362, 99.6938)].
[INFO CTS-0025] Width: 2.7246.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.3623 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3079045, 1138260), (3099285, 1154580)].
[INFO CTS-0024] Normalized sink region: [(236.85, 87.5585), (238.407, 88.8138)].
[INFO CTS-0025] Width: 1.5569.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.7785 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2873885, 1282540), (2904705, 1298860)].
[INFO CTS-0024] Normalized sink region: [(221.068, 98.6569), (223.439, 99.9123)].
[INFO CTS-0025] Width: 2.3708.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1854 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2776825, 1192660), (2814015, 1211820)].
[INFO CTS-0024] Normalized sink region: [(213.602, 91.7431), (216.463, 93.2169)].
[INFO CTS-0025] Width: 2.8608.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.4304 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2963125, 1323220), (2993415, 1350420)].
[INFO CTS-0024] Normalized sink region: [(227.933, 101.786), (230.263, 103.878)].
[INFO CTS-0025] Width: 2.3300.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1650 X 2.0923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2970485, 1184620), (2988425, 1203540)].
[INFO CTS-0024] Normalized sink region: [(228.499, 91.1246), (229.879, 92.58)].
[INFO CTS-0025] Width: 1.3800.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3800 X 0.7277
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2768085, 1317780), (2779585, 1347820)].
[INFO CTS-0024] Normalized sink region: [(212.93, 101.368), (213.814, 103.678)].
[INFO CTS-0025] Width: 0.8846.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.8846 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2737265, 1200940), (2763485, 1217260)].
[INFO CTS-0024] Normalized sink region: [(210.559, 92.38), (212.576, 93.6354)].
[INFO CTS-0025] Width: 2.0169.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0085 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2942885, 1323220), (2965815, 1350420)].
[INFO CTS-0024] Normalized sink region: [(226.376, 101.786), (228.14, 103.878)].
[INFO CTS-0025] Width: 1.7638.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.7638 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2932305, 1181780), (2965815, 1200940)].
[INFO CTS-0024] Normalized sink region: [(225.562, 90.9062), (228.14, 92.38)].
[INFO CTS-0025] Width: 2.5777.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2888 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2738185, 1320620), (2757045, 1342380)].
[INFO CTS-0024] Normalized sink region: [(210.63, 101.586), (212.08, 103.26)].
[INFO CTS-0025] Width: 1.4508.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4508 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2819145, 1165460), (2841615, 1198100)].
[INFO CTS-0024] Normalized sink region: [(216.857, 89.6508), (218.586, 92.1615)].
[INFO CTS-0025] Width: 1.7285.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.7285 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3037185, 1315180), (3056505, 1339540)].
[INFO CTS-0024] Normalized sink region: [(233.63, 101.168), (235.116, 103.042)].
[INFO CTS-0025] Width: 1.4862.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4862 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3027985, 1162860), (3041785, 1195500)].
[INFO CTS-0024] Normalized sink region: [(232.922, 89.4508), (233.983, 91.9615)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.5108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2820985, 1326060), (2845365, 1347820)].
[INFO CTS-0024] Normalized sink region: [(216.999, 102.005), (218.874, 103.678)].
[INFO CTS-0025] Width: 1.8754.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9377 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2792925, 1151980), (2807185, 1187220)].
[INFO CTS-0024] Normalized sink region: [(214.84, 88.6138), (215.937, 91.3246)].
[INFO CTS-0025] Width: 1.0969.
[INFO CTS-0026] Height: 2.7108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0969 X 1.3554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2999465, 1285140), (3015105, 1315180)].
[INFO CTS-0024] Normalized sink region: [(230.728, 98.8569), (231.931, 101.168)].
[INFO CTS-0025] Width: 1.2031.
[INFO CTS-0026] Height: 2.3108.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.2031 X 1.1554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3000845, 1160020), (3017865, 1184620)].
[INFO CTS-0024] Normalized sink region: [(230.834, 89.2323), (232.143, 91.1246)].
[INFO CTS-0025] Width: 1.3092.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3092 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2795685, 1285140), (2809485, 1312340)].
[INFO CTS-0024] Normalized sink region: [(215.053, 98.8569), (216.114, 100.949)].
[INFO CTS-0025] Width: 1.0615.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0615 X 1.0462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2890445, 1170900), (2917585, 1195500)].
[INFO CTS-0024] Normalized sink region: [(222.342, 90.0692), (224.43, 91.9615)].
[INFO CTS-0025] Width: 2.0877.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0438 X 1.8923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3056965, 1309740), (3117615, 1320620)].
[INFO CTS-0024] Normalized sink region: [(235.151, 100.749), (239.817, 101.586)].
[INFO CTS-0025] Width: 4.6654.
[INFO CTS-0026] Height: 0.8369.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 2.3327 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3056505, 1179180), (3083185, 1200940)].
[INFO CTS-0024] Normalized sink region: [(235.116, 90.7062), (237.168, 92.38)].
[INFO CTS-0025] Width: 2.0523.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0262 X 1.6738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2878485, 1317780), (2904245, 1342380)].
[INFO CTS-0024] Normalized sink region: [(221.422, 101.368), (223.403, 103.26)].
[INFO CTS-0025] Width: 1.9815.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9908 X 1.8923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2836625, 1157420), (2855485, 1181780)].
[INFO CTS-0024] Normalized sink region: [(218.202, 89.0323), (219.653, 90.9062)].
[INFO CTS-0025] Width: 1.4508.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4508 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3043625, 1282540), (3073915, 1309740)].
[INFO CTS-0024] Normalized sink region: [(234.125, 98.6569), (236.455, 100.749)].
[INFO CTS-0025] Width: 2.3300.
[INFO CTS-0026] Height: 2.0923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1650 X 2.0923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3055585, 1154580), (3068465, 1179180)].
[INFO CTS-0024] Normalized sink region: [(235.045, 88.8138), (236.036, 90.7062)].
[INFO CTS-0025] Width: 0.9908.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.9908 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2848125, 1301460), (2860085, 1323220)].
[INFO CTS-0024] Normalized sink region: [(219.087, 100.112), (220.007, 101.786)].
[INFO CTS-0025] Width: 0.9200.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.9200 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2740485, 1154580), (2758885, 1179180)].
[INFO CTS-0024] Normalized sink region: [(210.807, 88.8138), (212.222, 90.7062)].
[INFO CTS-0025] Width: 1.4154.
[INFO CTS-0026] Height: 1.8923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4154 X 0.9462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933685, 1282540), (2960825, 1298860)].
[INFO CTS-0024] Normalized sink region: [(225.668, 98.6569), (227.756, 99.9123)].
[INFO CTS-0025] Width: 2.0877.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.0438 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2933685, 1143700), (2965815, 1162860)].
[INFO CTS-0024] Normalized sink region: [(225.668, 87.9769), (228.14, 89.4508)].
[INFO CTS-0025] Width: 2.4715.
[INFO CTS-0026] Height: 1.4738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2358 X 1.4738
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2737265, 1277100), (2755665, 1293420)].
[INFO CTS-0024] Normalized sink region: [(210.559, 98.2385), (211.974, 99.4938)].
[INFO CTS-0025] Width: 1.4154.
[INFO CTS-0026] Height: 1.2554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.7077 X 1.2554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2862385, 1184620), (2878025, 1214420)].
[INFO CTS-0024] Normalized sink region: [(220.183, 91.1246), (221.387, 93.4169)].
[INFO CTS-0025] Width: 1.2031.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.2031 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3071225, 1320620), (3102435, 1339540)].
[INFO CTS-0024] Normalized sink region: [(236.248, 101.586), (238.649, 103.042)].
[INFO CTS-0025] Width: 2.4008.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.2004 X 1.4554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(3079505, 1217260), (3097905, 1241620)].
[INFO CTS-0024] Normalized sink region: [(236.885, 93.6354), (238.3, 95.5092)].
[INFO CTS-0025] Width: 1.4154.
[INFO CTS-0026] Height: 1.8738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.4154 X 0.9369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2852725, 1326060), (2876185, 1344980)].
[INFO CTS-0024] Normalized sink region: [(219.44, 102.005), (221.245, 103.46)].
[INFO CTS-0025] Width: 1.8046.
[INFO CTS-0026] Height: 1.4554.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 0.9023 X 1.4554
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2770385, 1157420), (2800675, 1187220)].
[INFO CTS-0024] Normalized sink region: [(213.107, 89.0323), (215.437, 91.3246)].
[INFO CTS-0025] Width: 2.3300.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Horizontal
Sinks per sub-region: 4
Sub-region size: 1.1650 X 2.2923
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2973245, 1285140), (2986585, 1306900)].
[INFO CTS-0024] Normalized sink region: [(228.711, 98.8569), (229.737, 100.531)].
[INFO CTS-0025] Width: 1.0262.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.0262 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2970485, 1160020), (2988425, 1181780)].
[INFO CTS-0024] Normalized sink region: [(228.499, 89.2323), (229.879, 90.9062)].
[INFO CTS-0025] Width: 1.3800.
[INFO CTS-0026] Height: 1.6738.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 1.3800 X 0.8369
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
Out of 8 sinks, 1 sinks closer to other cluster.
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0027] Generating H-Tree topology for net RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\].
[INFO CTS-0028] Total number of sinks: 8.
[INFO CTS-0029] Sinks will be clustered in groups of up to 30 and with maximum cluster diameter of 100.0 um.
[INFO CTS-0030] Number of static layers: 0.
[INFO CTS-0020] Wire segment unit: 13000 dbu (13 um).
[INFO CTS-0023] Original sink region: [(2768085, 1282540), (2780965, 1312340)].
[INFO CTS-0024] Normalized sink region: [(212.93, 98.6569), (213.92, 100.949)].
[INFO CTS-0025] Width: 0.9908.
[INFO CTS-0026] Height: 2.2923.
[WARNING CTS-0045] Creating fake entries in the LUT.
Level 1
Direction: Vertical
Sinks per sub-region: 4
Sub-region size: 0.9908 X 1.1462
[INFO CTS-0034] Segment length (rounded): 1.
Key: 9382 outSlew: 11 load: 1 length: 1 isBuffered: true
[INFO CTS-0032] Stop criterion found. Max number of sinks is 15.
[INFO CTS-0035] Number of sinks covered: 8.
[INFO CTS-0036] Average source sink dist: 33384.59 dbu.
[INFO CTS-0037] Number of outlier sinks: 95.
[INFO CTS-0036] Average source sink dist: 5640.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9701.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11980.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10754.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11268.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9917.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9312.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6077.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12306.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12335.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8462.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11632.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5533.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8535.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5187.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8735.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12425.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 4747.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7499.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9533.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8932.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 4490.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10548.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5044.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10511.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7116.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9694.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14137.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9210.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9741.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10086.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9127.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8276.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8564.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11102.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12510.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6236.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14435.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6580.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11801.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8743.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8636.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11468.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6370.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7643.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10992.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7483.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5304.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10441.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7603.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9786.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 13997.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10832.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7258.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 4873.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8212.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12781.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10965.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9394.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10299.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6160.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6679.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10398.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10595.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5242.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7702.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8424.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10361.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8082.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 15721.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 12992.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6485.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9207.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8816.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8476.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11905.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8145.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9608.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7871.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9121.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5617.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6434.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6467.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9868.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9942.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11160.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7558.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9310.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14307.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11306.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8805.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6325.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7632.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9571.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9977.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14969.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10412.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6316.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10255.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7642.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10998.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9289.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9263.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 7094.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10676.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14355.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11108.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11797.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5726.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 16715.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5842.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 5933.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 11242.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8057.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8960.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10619.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8554.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9027.75 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8339.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 9397.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 10234.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 6781.50 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 14888.00 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0036] Average source sink dist: 8173.25 dbu.
[INFO CTS-0037] Number of outlier sinks: 0.
[INFO CTS-0018] Created 1562 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 29.
[INFO CTS-0013] Maximum number of buffers in the clock path: 43.
[INFO CTS-0015] Created 1562 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 2:3, 3:9, 4:15, 5:22, 6:21, 7:21, 8:29, 9:16, 10:25, 11:6, 12:7, 13:7, 14:6, 15:5, 16:10, 17:15, 18:19, 19:35, 20:50, 21:51, 22:54, 23:58, 24:58, 25:56, 26:56, 27:54, 28:51, 29:34, 30:286..
[INFO CTS-0017] Max level of the clock tree: 7.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0018] Created 3 clock buffers.
[INFO CTS-0012] Minimum number of buffers in the clock path: 2.
[INFO CTS-0013] Maximum number of buffers in the clock path: 2.
[INFO CTS-0015] Created 3 clock nets.
[INFO CTS-0016] Fanout distribution for the current clock = 4:2..
[INFO CTS-0017] Max level of the clock tree: 1.
[INFO CTS-0098] Clock net "clk"
[INFO CTS-0099] Sinks 23266
[INFO CTS-0100] Leaf buffers 951
[INFO CTS-0101] Average sink wire length 6.17e+03 um
[INFO CTS-0102] Path depth 29 - 43
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 33.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 38.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[9\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 34.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 28.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[8\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 44.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[7\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 58.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 24.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 24.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[6\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 42.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 46.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 30.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[5\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 52.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[4\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 52.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[3\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 32.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 61.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[31\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 35.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 30.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 46.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[30\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 46.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 29.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 64.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 26.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[2\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 29.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 27.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 60.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[29\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 44.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 35.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 63.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[28\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 34.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 27.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[27\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 32.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 47.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[26\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 28.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 52.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 44.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[25\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 31.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 35.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[24\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 46.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[23\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 60.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 48.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[22\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 38.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[21\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 34.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 47.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[20\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 42.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 33.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 28.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[1\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 35.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 46.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 44.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[19\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 27.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 47.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 54.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.0 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[18\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 33.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 51.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 49.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[17\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 48.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 41.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[16\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 56.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 42.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[15\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 45.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 36.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 66.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 48.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[14\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 32.8 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 31.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[13\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 42.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 37.5 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 47.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[12\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 30.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 40.7 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 43.4 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 39.9 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[11\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 35.6 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[3\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 50.3 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[2\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 28.2 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[1\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 48.1 um
[INFO CTS-0102] Path depth 2 - 2
[INFO CTS-0098] Clock net "RegFilePlugin_regFile.regFile01.REGF\[10\].RFW.GCLK\[0\]"
[INFO CTS-0099] Sinks 8
[INFO CTS-0100] Leaf buffers 0
[INFO CTS-0101] Average sink wire length 32.8 um
[INFO CTS-0102] Path depth 2 - 2
==========================================================================
cts pre-repair report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[29] (input port clocked by clk)
Endpoint: _145486_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[29] (in)
1 0.04 externalResetVector[29] (net)
0.01 0.00 1.00 v input21/A (sky130_fd_sc_hd__buf_16)
0.10 0.17 1.18 v input21/X (sky130_fd_sc_hd__buf_16)
2 0.28 net21 (net)
0.40 0.20 1.37 v _122295_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.19 1.57 ^ _122295_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000072_ (net)
0.12 0.00 1.57 ^ _145486_/SET_B (sky130_fd_sc_hd__dfbbp_1)
1.57 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.22 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.46 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.46 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 0.63 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 0.63 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 0.85 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.00 0.85 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.02 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.02 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.25 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.22 0.00 1.25 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.42 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 1.42 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.55 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.09 0.00 1.55 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.69 0.54 2.09 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.69 0.00 2.09 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 2.36 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.00 2.36 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.53 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.13 0.00 2.53 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 2.76 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.22 0.00 2.76 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.93 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 2.93 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.16 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.22 0.00 3.16 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.33 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.13 0.00 3.33 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 3.82 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.60 0.00 3.82 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 4.08 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.22 0.00 4.08 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.25 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.13 0.00 4.25 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.45 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.17 0.00 4.45 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 4.88 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 4.88 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.14 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.22 0.00 5.14 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.31 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 5.31 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.44 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.08 0.00 5.44 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 5.85 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.50 0.00 5.85 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.08 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_23_0_clk (net)
0.17 0.00 6.08 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.44 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.42 0.00 6.45 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.64 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 6.64 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.00 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.42 0.00 7.00 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.97 0.78 7.78 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.08 clknet_7_94_0_clk (net)
0.97 0.00 7.78 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.97 8.75 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 8.75 ^ _145486_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 8.75 clock reconvergence pessimism
0.31 9.06 library removal time
9.06 data required time
-----------------------------------------------------------------------------
9.06 data required time
-1.57 data arrival time
-----------------------------------------------------------------------------
-7.49 slack (VIOLATED)
Startpoint: iBusWB_ACK (input port clocked by clk)
Endpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ iBusWB_ACK (in)
1 0.04 iBusWB_ACK (net)
0.01 0.00 1.00 ^ input32/A (sky130_fd_sc_hd__buf_16)
0.22 0.20 1.20 ^ input32/X (sky130_fd_sc_hd__buf_16)
2 0.26 net32 (net)
0.43 0.19 1.39 ^ _061259_/A (sky130_fd_sc_hd__nand2_1)
0.16 0.20 1.59 v _061259_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _025127_ (net)
0.16 0.00 1.59 v _061260_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 1.74 ^ _061260_/Y (sky130_fd_sc_hd__inv_1)
3 0.01 _000004_ (net)
0.11 0.00 1.74 ^ _122164_/A2 (sky130_fd_sc_hd__o21ai_0)
0.05 0.08 1.82 v _122164_/Y (sky130_fd_sc_hd__o21ai_0)
1 0.00 _023093_ (net)
0.05 0.00 1.82 v _145508_/D (sky130_fd_sc_hd__dfrtp_4)
1.82 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.00 8.78 clock reconvergence pessimism
0.10 8.89 library hold time
8.89 data required time
-----------------------------------------------------------------------------
8.89 data required time
-1.82 data arrival time
-----------------------------------------------------------------------------
-7.07 slack (VIOLATED)
==========================================================================
cts pre-repair report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[10] (input port clocked by clk)
Endpoint: _145467_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[10] (in)
1 0.04 externalResetVector[10] (net)
0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16)
0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16)
2 0.28 net1 (net)
0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000033_ (net)
0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
1.59 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 10.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 10.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 10.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 10.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 10.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 10.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 10.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 11.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 11.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 11.98 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 12.24 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.22 0.00 12.24 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.41 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.41 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 12.63 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 12.63 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.80 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 12.80 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.03 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.22 0.00 13.03 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.20 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 13.20 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 13.69 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 13.69 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 13.95 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 13.95 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 14.13 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 14.13 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 14.32 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 14.32 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 14.75 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.51 0.00 14.75 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.01 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.22 0.00 15.01 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 15.19 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.13 0.00 15.19 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 15.32 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.32 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 15.73 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.51 0.00 15.73 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 15.96 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 15.96 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.21 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.21 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.39 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.39 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 16.76 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 16.76 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.49 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.49 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1)
0.79 0.67 18.16 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1)
18 0.07 clknet_leaf_230_clk (net)
0.79 0.00 18.16 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.16 clock reconvergence pessimism
0.06 18.22 library recovery time
18.22 data required time
-----------------------------------------------------------------------------
18.22 data required time
-1.59 data arrival time
-----------------------------------------------------------------------------
16.62 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.31 0.89 9.67 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.26 net78 (net)
0.31 0.00 9.67 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 9.83 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 9.83 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 10.04 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 10.04 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.96 0.59 10.63 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.31 net99 (net)
1.02 0.18 10.81 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.11 0.50 11.31 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.19 net100 (net)
0.22 0.10 11.41 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 11.65 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.03 iBusWB_STB (net)
0.11 0.00 11.66 v iBusWB_STB (out)
11.66 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-11.66 data arrival time
-----------------------------------------------------------------------------
-2.66 slack (VIOLATED)
==========================================================================
cts pre-repair report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[10] (input port clocked by clk)
Endpoint: _145467_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[10] (in)
1 0.04 externalResetVector[10] (net)
0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16)
0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16)
2 0.28 net1 (net)
0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000033_ (net)
0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
1.59 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 10.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 10.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 10.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 10.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 10.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 10.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 10.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 11.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 11.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 11.98 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 12.24 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.22 0.00 12.24 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.41 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.41 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 12.63 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 12.63 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.80 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 12.80 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.03 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.22 0.00 13.03 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.20 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 13.20 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 13.69 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 13.69 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 13.95 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 13.95 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 14.13 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 14.13 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 14.32 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 14.32 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 14.75 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.51 0.00 14.75 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.01 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.22 0.00 15.01 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 15.19 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.13 0.00 15.19 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 15.32 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.32 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 15.73 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.51 0.00 15.73 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 15.96 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 15.96 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.21 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.21 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.39 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.39 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 16.76 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 16.76 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.49 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.49 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1)
0.79 0.67 18.16 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1)
18 0.07 clknet_leaf_230_clk (net)
0.79 0.00 18.16 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.16 clock reconvergence pessimism
0.06 18.22 library recovery time
18.22 data required time
-----------------------------------------------------------------------------
18.22 data required time
-1.59 data arrival time
-----------------------------------------------------------------------------
16.62 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.54 clk (net)
0.02 0.01 0.01 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.21 0.22 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.22 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.46 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.46 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 0.64 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 0.64 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 0.86 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 0.86 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.03 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.03 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.26 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.26 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.43 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.43 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.56 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.56 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 1.98 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 1.98 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.24 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.24 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.41 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.41 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 2.64 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 2.64 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.81 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 2.81 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.04 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.04 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.21 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.21 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 3.70 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 3.70 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 3.96 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 3.96 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.13 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.13 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.32 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.32 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 4.75 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 4.75 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.01 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.01 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.19 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.19 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.32 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.32 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 5.73 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 5.73 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 5.96 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 5.96 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.33 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.33 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.52 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.52 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 6.88 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 6.88 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 7.91 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 7.91 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 8.78 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 8.78 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.31 0.89 9.67 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.26 net78 (net)
0.31 0.00 9.67 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 9.83 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 9.83 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 10.04 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 10.04 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.96 0.59 10.63 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.31 net99 (net)
1.02 0.18 10.81 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.11 0.50 11.31 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.19 net100 (net)
0.22 0.10 11.41 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 11.65 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.03 iBusWB_STB (net)
0.11 0.00 11.66 v iBusWB_STB (out)
11.66 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-11.66 data arrival time
-----------------------------------------------------------------------------
-2.66 slack (VIOLATED)
==========================================================================
cts pre-repair report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.64 -0.14 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.59 -0.09 (VIOLATED)
clkbuf_leaf_254_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_259_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_263_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_264_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_265_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_268_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_269_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_270_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_271_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_272_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_58_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_59_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_60_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_7_51_0_clk/X 1.51 1.53 -0.02 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
==========================================================================
cts pre-repair max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 60
==========================================================================
cts pre-repair max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
cts pre-repair max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 997
==========================================================================
cts pre-repair report_tns
--------------------------------------------------------------------------
tns -63.53
==========================================================================
cts pre-repair report_wns
--------------------------------------------------------------------------
wns -2.66
==========================================================================
cts pre-repair report_worst_slack
--------------------------------------------------------------------------
worst slack -2.66
==========================================================================
cts pre-repair report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
8.75
_143176_/CLK ^
7.15 0.00 1.60
==========================================================================
cts pre-repair report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 1.00e-01 3.11e-03 2.04e-07 1.03e-01 58.1%
Combinational 1.75e-02 5.69e-02 2.26e-07 7.44e-02 41.9%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.18e-01 6.00e-02 4.30e-07 1.78e-01 100.0%
66.2% 33.8% 0.0%
==========================================================================
cts pre-repair report_design_area
--------------------------------------------------------------------------
Design area 1428102 u^2 7% utilization.
[INFO RSZ-0058] Using max wire length 2431um.
[INFO RSZ-0047] Found 1 long wires.
[INFO RSZ-0048] Inserted 1 buffers in 1 nets.
==========================================================================
cts post-repair report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[29] (input port clocked by clk)
Endpoint: _145486_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[29] (in)
1 0.04 externalResetVector[29] (net)
0.01 0.00 1.00 v input21/A (sky130_fd_sc_hd__buf_16)
0.10 0.17 1.18 v input21/X (sky130_fd_sc_hd__buf_16)
2 0.28 net21 (net)
0.40 0.20 1.37 v _122295_/B (sky130_fd_sc_hd__nand2_1)
0.12 0.19 1.57 ^ _122295_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000072_ (net)
0.12 0.00 1.57 ^ _145486_/SET_B (sky130_fd_sc_hd__dfbbp_1)
1.57 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.59 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.82 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.83 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.00 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 1.00 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.22 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.00 1.22 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.39 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.39 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.61 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.22 0.00 1.61 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.79 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 1.79 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.92 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.09 0.00 1.92 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.69 0.54 2.45 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.69 0.00 2.46 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 2.73 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.00 2.73 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.90 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.13 0.00 2.90 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.12 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.22 0.00 3.12 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.30 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 3.30 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.52 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.22 0.00 3.52 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.70 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.13 0.00 3.70 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.18 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.60 0.00 4.18 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 4.45 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.22 0.00 4.45 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.62 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.13 0.00 4.62 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.81 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.17 0.00 4.81 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 5.24 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 5.24 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.50 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.22 0.00 5.50 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.68 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 5.68 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.81 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.08 0.00 5.81 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.21 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.50 0.00 6.22 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.44 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_23_0_clk (net)
0.17 0.00 6.44 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.81 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.42 0.00 6.81 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.00 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 7.00 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.37 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.42 0.00 7.37 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.97 0.78 8.14 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.08 clknet_7_94_0_clk (net)
0.97 0.00 8.14 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.97 9.11 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 9.11 ^ _145486_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 9.11 clock reconvergence pessimism
0.31 9.42 library removal time
9.42 data required time
-----------------------------------------------------------------------------
9.42 data required time
-1.57 data arrival time
-----------------------------------------------------------------------------
-7.86 slack (VIOLATED)
Startpoint: iBusWB_ACK (input port clocked by clk)
Endpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ iBusWB_ACK (in)
1 0.04 iBusWB_ACK (net)
0.01 0.00 1.00 ^ input32/A (sky130_fd_sc_hd__buf_16)
0.22 0.20 1.20 ^ input32/X (sky130_fd_sc_hd__buf_16)
2 0.26 net32 (net)
0.43 0.19 1.39 ^ _061259_/A (sky130_fd_sc_hd__nand2_1)
0.16 0.20 1.59 v _061259_/Y (sky130_fd_sc_hd__nand2_1)
3 0.01 _025127_ (net)
0.16 0.00 1.59 v _061260_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 1.74 ^ _061260_/Y (sky130_fd_sc_hd__inv_1)
3 0.01 _000004_ (net)
0.11 0.00 1.74 ^ _122164_/A2 (sky130_fd_sc_hd__o21ai_0)
0.05 0.08 1.82 v _122164_/Y (sky130_fd_sc_hd__o21ai_0)
1 0.00 _023093_ (net)
0.05 0.00 1.82 v _145508_/D (sky130_fd_sc_hd__dfrtp_4)
1.82 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.00 9.15 clock reconvergence pessimism
0.10 9.25 library hold time
9.25 data required time
-----------------------------------------------------------------------------
9.25 data required time
-1.82 data arrival time
-----------------------------------------------------------------------------
-7.43 slack (VIOLATED)
==========================================================================
cts post-repair report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[10] (input port clocked by clk)
Endpoint: _145467_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[10] (in)
1 0.04 externalResetVector[10] (net)
0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16)
0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16)
2 0.28 net1 (net)
0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000033_ (net)
0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
1.59 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 10.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 10.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 10.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 10.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 10.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 10.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 10.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 11.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 11.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 11.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 12.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 12.34 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 12.60 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.22 0.00 12.60 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.78 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.78 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.00 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 13.00 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.17 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 13.17 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.39 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.22 0.00 13.39 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.57 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 13.57 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 14.05 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 14.05 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 14.32 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 14.32 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 14.49 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 14.49 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 14.69 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 14.69 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 15.12 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.51 0.00 15.12 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.38 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.22 0.00 15.38 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 15.55 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.13 0.00 15.55 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 15.68 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.68 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 16.10 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.51 0.00 16.10 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 16.32 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 16.32 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.58 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.58 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.76 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.76 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 17.12 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 17.12 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.86 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.86 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1)
0.79 0.67 18.53 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1)
18 0.07 clknet_leaf_230_clk (net)
0.79 0.00 18.53 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.53 clock reconvergence pessimism
0.06 18.58 library recovery time
18.58 data required time
-----------------------------------------------------------------------------
18.58 data required time
-1.59 data arrival time
-----------------------------------------------------------------------------
16.99 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.31 0.89 10.04 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.26 net78 (net)
0.31 0.00 10.04 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 10.19 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 10.19 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 10.40 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 10.40 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.96 0.59 11.00 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.31 net99 (net)
1.02 0.18 11.18 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.11 0.50 11.68 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.19 net100 (net)
0.22 0.10 11.77 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 12.02 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.03 iBusWB_STB (net)
0.11 0.00 12.02 v iBusWB_STB (out)
12.02 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.02 data arrival time
-----------------------------------------------------------------------------
-3.02 slack (VIOLATED)
==========================================================================
cts post-repair report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[10] (input port clocked by clk)
Endpoint: _145467_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[10] (in)
1 0.04 externalResetVector[10] (net)
0.01 0.00 1.00 ^ input1/A (sky130_fd_sc_hd__buf_16)
0.23 0.20 1.21 ^ input1/X (sky130_fd_sc_hd__buf_16)
2 0.28 net1 (net)
0.49 0.22 1.43 ^ _122240_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.16 1.59 ^ _122240_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000033_ (net)
0.11 0.00 1.59 ^ _145467_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
1.59 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 10.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 10.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 10.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 10.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 10.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 10.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 10.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 11.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 11.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 11.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 12.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 12.34 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 12.60 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.22 0.00 12.60 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 12.78 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.78 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.00 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 13.00 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.17 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 13.17 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 13.39 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.22 0.00 13.39 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 13.57 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 13.57 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 14.05 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 14.05 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 14.32 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 14.32 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 14.49 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 14.49 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 14.69 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.17 0.00 14.69 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 15.12 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.51 0.00 15.12 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.38 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.22 0.00 15.38 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 15.55 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.13 0.00 15.55 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 15.68 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.68 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 16.10 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.51 0.00 16.10 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 16.32 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 16.32 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.58 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.58 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.76 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.76 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 17.12 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 17.12 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.86 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.86 ^ clkbuf_leaf_230_clk/A (sky130_fd_sc_hd__buf_1)
0.79 0.67 18.53 ^ clkbuf_leaf_230_clk/X (sky130_fd_sc_hd__buf_1)
18 0.07 clknet_leaf_230_clk (net)
0.79 0.00 18.53 ^ _145467_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.53 clock reconvergence pessimism
0.06 18.58 library recovery time
18.58 data required time
-----------------------------------------------------------------------------
18.58 data required time
-1.59 data arrival time
-----------------------------------------------------------------------------
16.99 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_STB (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 0.59 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.24 0.00 0.59 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.24 0.83 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.83 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.00 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.13 0.00 1.00 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.23 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 1.23 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.40 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.40 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.62 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.62 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.80 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.80 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 1.93 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.93 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 2.34 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 2.34 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 2.61 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.22 0.00 2.61 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 2.78 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.13 0.00 2.78 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.00 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.22 0.00 3.00 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.18 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.13 0.00 3.18 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 3.40 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.22 0.00 3.40 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.58 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.13 0.00 3.58 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.06 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.60 0.00 4.06 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 4.33 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_0_clk (net)
0.22 0.00 4.33 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 4.50 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.13 0.00 4.50 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.19 4.69 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_2_clk (net)
0.17 0.00 4.69 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 5.12 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_1_3_clk (net)
0.51 0.00 5.12 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.38 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.22 0.00 5.38 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.55 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 5.55 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 5.68 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.08 0.00 5.68 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 6.10 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_3_3_clk (net)
0.51 0.00 6.10 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.32 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.17 0.00 6.32 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 6.69 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_7_1_clk (net)
0.42 0.00 6.69 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 6.89 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.13 0.00 6.89 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.25 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.42 0.00 7.25 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.34 1.03 8.28 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.34 0.00 8.28 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.87 9.15 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.09 clknet_leaf_174_clk (net)
1.07 0.00 9.15 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.31 0.89 10.04 v _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.26 net78 (net)
0.31 0.00 10.04 v _122162_/A (sky130_fd_sc_hd__inv_1)
0.11 0.15 10.19 ^ _122162_/Y (sky130_fd_sc_hd__inv_1)
2 0.01 _061099_ (net)
0.11 0.00 10.19 ^ _145579_/B (sky130_fd_sc_hd__ha_1)
0.12 0.21 10.40 ^ _145579_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061100_ (net)
0.12 0.00 10.40 ^ _061258_/B (sky130_fd_sc_hd__nand3b_4)
0.96 0.59 11.00 v _061258_/Y (sky130_fd_sc_hd__nand3b_4)
3 0.31 net99 (net)
1.02 0.18 11.18 v _122417_/A (sky130_fd_sc_hd__buf_12)
0.11 0.50 11.68 v _122417_/X (sky130_fd_sc_hd__buf_12)
1 0.19 net100 (net)
0.22 0.10 11.77 v output100/A (sky130_fd_sc_hd__clkbuf_2)
0.11 0.25 12.02 v output100/X (sky130_fd_sc_hd__clkbuf_2)
1 0.03 iBusWB_STB (net)
0.11 0.00 12.02 v iBusWB_STB (out)
12.02 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.02 data arrival time
-----------------------------------------------------------------------------
-3.02 slack (VIOLATED)
==========================================================================
cts post-repair report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.64 -0.14 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.59 -0.09 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.59 -0.09 (VIOLATED)
clkbuf_leaf_254_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_259_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_263_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_264_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_265_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_268_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_269_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_270_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_271_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_272_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_58_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_59_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_60_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_7_51_0_clk/X 1.51 1.53 -0.02 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
==========================================================================
cts post-repair max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 60
==========================================================================
cts post-repair max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
cts post-repair max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 997
==========================================================================
cts post-repair report_tns
--------------------------------------------------------------------------
tns -85.67
==========================================================================
cts post-repair report_wns
--------------------------------------------------------------------------
wns -3.02
==========================================================================
cts post-repair report_worst_slack
--------------------------------------------------------------------------
worst slack -3.02
==========================================================================
cts post-repair report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
9.11
_143176_/CLK ^
7.52 0.00 1.60
==========================================================================
cts post-repair report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 1.00e-01 3.11e-03 2.04e-07 1.03e-01 58.1%
Combinational 1.75e-02 5.70e-02 2.26e-07 7.46e-02 41.9%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.18e-01 6.01e-02 4.30e-07 1.78e-01 100.0%
66.2% 33.8% 0.0%
==========================================================================
cts post-repair report_design_area
--------------------------------------------------------------------------
Design area 1428130 u^2 7% utilization.
Placement Analysis
---------------------------------
total displacement 6264.4 u
average displacement 0.0 u
max displacement 23.0 u
original HPWL 3510024.9 u
legalized HPWL 3564168.9 u
delta HPWL 2 %
Repair setup violations...
[INFO RSZ-0040] Inserted 2 buffers.
[INFO RSZ-0041] Resized 4 instances.
[WARNING RSZ-0062] Unable to repair all setup violations.
Repair hold violations...
[INFO RSZ-0046] Found 71 endpoints with hold violations.
[INFO RSZ-0032] Inserted 465 hold buffers.
Placement Analysis
---------------------------------
total displacement 1297.7 u
average displacement 0.0 u
max displacement 14.1 u
original HPWL 3565542.6 u
legalized HPWL 3566077.7 u
delta HPWL 0 %
==========================================================================
cts final report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[15] (input port clocked by clk)
Endpoint: _145472_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[15] (in)
1 0.04 externalResetVector[15] (net)
0.01 0.00 1.00 v input6/A (sky130_fd_sc_hd__buf_16)
0.02 0.10 1.10 v input6/X (sky130_fd_sc_hd__buf_16)
1 0.00 net6 (net)
0.02 0.00 1.10 v hold79/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.63 1.74 v hold79/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2944 (net)
0.13 0.00 1.74 v hold80/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 2.42 v hold80/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2945 (net)
0.13 0.00 2.42 v hold81/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 3.10 v hold81/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2946 (net)
0.13 0.00 3.10 v hold82/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 3.78 v hold82/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2947 (net)
0.13 0.00 3.78 v hold83/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 4.46 v hold83/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2948 (net)
0.13 0.00 4.47 v hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 5.15 v hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2949 (net)
0.13 0.00 5.15 v hold85/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 5.83 v hold85/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2950 (net)
0.13 0.00 5.83 v hold86/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 6.51 v hold86/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2951 (net)
0.13 0.00 6.51 v hold87/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 7.19 v hold87/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2952 (net)
0.13 0.00 7.19 v hold88/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 7.88 v hold88/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2953 (net)
0.13 0.00 7.88 v hold89/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 8.56 v hold89/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2954 (net)
0.13 0.00 8.56 v hold90/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.68 9.24 v hold90/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2955 (net)
0.13 0.00 9.24 v hold91/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 9.92 v hold91/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2956 (net)
0.14 0.00 9.93 v hold92/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.23 10.16 v hold92/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net2943 (net)
0.09 0.01 10.16 v _122280_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.09 10.26 ^ _122280_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000044_ (net)
0.06 0.00 10.26 ^ _145472_/SET_B (sky130_fd_sc_hd__dfbbp_1)
10.26 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 0.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.24 0.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.21 0.00 0.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.18 1.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.15 0.00 1.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 1.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 1.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 1.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 1.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 1.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 1.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 1.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 2.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 2.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 2.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.23 0.00 2.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 2.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 2.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.21 0.00 3.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.14 0.00 3.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.00 3.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.14 0.00 3.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 4.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 4.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 4.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.14 0.00 4.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.20 4.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.19 0.00 4.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.43 5.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.50 0.00 5.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.25 5.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.20 0.00 5.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 5.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.14 0.00 5.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 5.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 5.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.50 0.00 6.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 6.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 6.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 6.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 6.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 6.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 7.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 7.18 ^ clkbuf_7_53_0_clk/A (sky130_fd_sc_hd__buf_1)
1.11 0.87 8.05 ^ clkbuf_7_53_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.10 clknet_7_53_0_clk (net)
1.11 0.00 8.05 ^ clkbuf_leaf_227_clk/A (sky130_fd_sc_hd__buf_1)
1.19 0.95 9.00 ^ clkbuf_leaf_227_clk/X (sky130_fd_sc_hd__buf_1)
30 0.10 clknet_leaf_227_clk (net)
1.19 0.00 9.00 ^ _145472_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 9.00 clock reconvergence pessimism
0.31 9.31 library removal time
9.31 data required time
-----------------------------------------------------------------------------
9.31 data required time
-10.26 data arrival time
-----------------------------------------------------------------------------
0.94 slack (MET)
Startpoint: _144909_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: _122440_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.50 0.00 6.28 ^ clkbuf_5_20_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.50 ^ clkbuf_5_20_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_20_0_clk (net)
0.17 0.00 6.50 ^ clkbuf_5_20_1_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.28 6.78 ^ clkbuf_5_20_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_20_1_clk (net)
0.29 0.00 6.78 ^ clkbuf_6_40_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 6.96 ^ clkbuf_6_40_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_40_0_clk (net)
0.13 0.00 6.96 ^ clkbuf_6_40_1_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.26 7.23 ^ clkbuf_6_40_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_6_40_1_clk (net)
0.28 0.00 7.23 ^ clkbuf_7_80_0_clk/A (sky130_fd_sc_hd__buf_1)
0.36 0.35 7.57 ^ clkbuf_7_80_0_clk/X (sky130_fd_sc_hd__buf_1)
5 0.03 clknet_7_80_0_clk (net)
0.36 0.00 7.57 ^ clkbuf_opt_73_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.76 ^ clkbuf_opt_73_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_73_0_clk (net)
0.13 0.00 7.76 ^ clkbuf_opt_73_1_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.26 8.02 ^ clkbuf_opt_73_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_73_1_clk (net)
0.27 0.00 8.02 ^ clkbuf_leaf_712_clk/A (sky130_fd_sc_hd__buf_1)
0.37 0.35 8.37 ^ clkbuf_leaf_712_clk/X (sky130_fd_sc_hd__buf_1)
9 0.03 clknet_leaf_712_clk (net)
0.37 0.00 8.37 ^ _144909_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.03 0.42 8.79 v _144909_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.00 dataCache_1_.io_mem_cmd_payload_data[21] (net)
0.03 0.00 8.79 v hold461/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.72 9.51 v hold461/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.04 net3326 (net)
0.23 0.01 9.52 v hold462/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.82 10.34 v hold462/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.05 net3327 (net)
0.24 0.01 10.35 v hold463/A (sky130_fd_sc_hd__buf_6)
0.02 0.20 10.54 v hold463/X (sky130_fd_sc_hd__buf_6)
1 0.00 net3325 (net)
0.02 0.00 10.54 v hold468/A (sky130_fd_sc_hd__buf_6)
0.08 0.16 10.70 v hold468/X (sky130_fd_sc_hd__buf_6)
1 0.08 net3332 (net)
0.09 0.02 10.72 v _122440_/D (sky130_fd_sc_hd__dfxtp_4)
10.72 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.50 0.00 6.28 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.50 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_21_0_clk (net)
0.17 0.00 6.50 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.36 6.86 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_21_1_clk (net)
0.41 0.00 6.86 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.05 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_42_0_clk (net)
0.13 0.00 7.05 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1)
0.37 0.33 7.38 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_42_1_clk (net)
0.37 0.00 7.38 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.55 7.93 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.06 clknet_7_85_0_clk (net)
0.65 0.00 7.93 ^ clkbuf_opt_83_0_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.20 8.13 ^ clkbuf_opt_83_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_0_clk (net)
0.12 0.00 8.13 ^ clkbuf_opt_83_1_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 8.35 ^ clkbuf_opt_83_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_83_1_clk (net)
0.22 0.00 8.35 ^ clkbuf_opt_83_2_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.52 ^ clkbuf_opt_83_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_2_clk (net)
0.13 0.00 8.52 ^ clkbuf_opt_83_3_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 8.74 ^ clkbuf_opt_83_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_83_3_clk (net)
0.22 0.00 8.74 ^ clkbuf_opt_83_4_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.91 ^ clkbuf_opt_83_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_4_clk (net)
0.13 0.00 8.91 ^ clkbuf_opt_83_5_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.13 ^ clkbuf_opt_83_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_83_5_clk (net)
0.22 0.00 9.13 ^ clkbuf_opt_83_6_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.31 ^ clkbuf_opt_83_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_6_clk (net)
0.13 0.00 9.31 ^ clkbuf_opt_83_7_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.53 ^ clkbuf_opt_83_7_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_83_7_clk (net)
0.22 0.00 9.53 ^ clkbuf_opt_83_8_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.70 ^ clkbuf_opt_83_8_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_8_clk (net)
0.13 0.00 9.70 ^ clkbuf_opt_83_9_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.92 ^ clkbuf_opt_83_9_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_83_9_clk (net)
0.22 0.00 9.92 ^ clkbuf_opt_83_10_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.10 ^ clkbuf_opt_83_10_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_83_10_clk (net)
0.13 0.00 10.10 ^ clkbuf_opt_83_11_clk/A (sky130_fd_sc_hd__buf_1)
0.69 0.56 10.65 ^ clkbuf_opt_83_11_clk/X (sky130_fd_sc_hd__buf_1)
1 0.06 clknet_opt_83_11_clk (net)
0.69 0.00 10.65 ^ _122440_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.00 10.65 clock reconvergence pessimism
0.05 10.70 library hold time
10.70 data required time
-----------------------------------------------------------------------------
10.70 data required time
-10.72 data arrival time
-----------------------------------------------------------------------------
0.02 slack (MET)
==========================================================================
cts final report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[11] (input port clocked by clk)
Endpoint: _145468_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[11] (in)
1 0.04 externalResetVector[11] (net)
0.01 0.00 1.00 ^ input2/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.07 ^ input2/X (sky130_fd_sc_hd__buf_16)
1 0.00 net2 (net)
0.02 0.00 1.07 ^ hold415/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.65 1.73 ^ hold415/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3280 (net)
0.21 0.00 1.73 ^ hold416/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 2.43 ^ hold416/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3281 (net)
0.21 0.00 2.43 ^ hold417/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 3.13 ^ hold417/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3282 (net)
0.21 0.00 3.14 ^ hold418/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 3.84 ^ hold418/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3283 (net)
0.21 0.00 3.84 ^ hold419/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 4.54 ^ hold419/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3284 (net)
0.21 0.00 4.54 ^ hold420/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 5.24 ^ hold420/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3285 (net)
0.21 0.00 5.24 ^ hold421/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 5.95 ^ hold421/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3286 (net)
0.21 0.00 5.95 ^ hold422/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 6.65 ^ hold422/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3287 (net)
0.21 0.00 6.65 ^ hold423/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 7.35 ^ hold423/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3288 (net)
0.21 0.00 7.35 ^ hold424/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 8.06 ^ hold424/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3289 (net)
0.21 0.00 8.06 ^ hold425/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 8.76 ^ hold425/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3290 (net)
0.21 0.00 8.76 ^ hold426/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 9.46 ^ hold426/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3291 (net)
0.21 0.00 9.46 ^ hold427/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 10.17 ^ hold427/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3292 (net)
0.21 0.00 10.17 ^ hold428/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.26 10.43 ^ hold428/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net3279 (net)
0.13 0.01 10.43 ^ _122241_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.12 10.55 ^ _122241_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000035_ (net)
0.11 0.00 10.55 ^ _145468_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
10.55 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 10.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 10.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 10.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 10.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 10.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.24 10.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.21 0.00 10.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.18 11.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.15 0.00 11.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 11.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 11.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 11.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 11.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 12.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 12.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 12.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.23 0.00 12.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 12.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 13.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.21 0.00 13.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 13.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.14 0.00 13.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 13.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.00 13.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 13.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.14 0.00 13.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 14.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 14.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 14.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 14.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.14 0.00 14.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.20 14.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.19 0.00 14.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.43 15.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.50 0.00 15.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.25 15.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.20 0.00 15.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 15.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.14 0.00 15.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 15.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 16.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.50 0.00 16.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 16.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 16.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 17.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 17.18 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.91 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.91 ^ clkbuf_leaf_226_clk/A (sky130_fd_sc_hd__buf_1)
0.78 0.67 18.58 ^ clkbuf_leaf_226_clk/X (sky130_fd_sc_hd__buf_1)
19 0.07 clknet_leaf_226_clk (net)
0.78 0.00 18.58 ^ _145468_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.58 clock reconvergence pessimism
0.05 18.63 library recovery time
18.63 data required time
-----------------------------------------------------------------------------
18.63 data required time
-10.55 data arrival time
-----------------------------------------------------------------------------
8.08 slack (MET)
Startpoint: _122435_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: dBusWB_DAT_MOSI[16] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.50 0.00 6.28 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.50 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_21_0_clk (net)
0.17 0.00 6.50 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.36 6.86 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_21_1_clk (net)
0.41 0.00 6.86 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.05 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_42_0_clk (net)
0.13 0.00 7.05 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1)
0.37 0.33 7.38 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_42_1_clk (net)
0.37 0.00 7.38 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.55 7.93 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.06 clknet_7_85_0_clk (net)
0.65 0.00 7.93 ^ clkbuf_opt_84_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.21 8.14 ^ clkbuf_opt_84_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_0_clk (net)
0.14 0.00 8.14 ^ clkbuf_opt_84_1_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 8.37 ^ clkbuf_opt_84_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_1_clk (net)
0.23 0.00 8.37 ^ clkbuf_opt_84_2_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.54 ^ clkbuf_opt_84_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_2_clk (net)
0.13 0.00 8.54 ^ clkbuf_opt_84_3_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 8.76 ^ clkbuf_opt_84_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_3_clk (net)
0.22 0.00 8.76 ^ clkbuf_opt_84_4_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.93 ^ clkbuf_opt_84_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_4_clk (net)
0.13 0.00 8.93 ^ clkbuf_opt_84_5_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.15 ^ clkbuf_opt_84_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_5_clk (net)
0.22 0.00 9.15 ^ clkbuf_opt_84_6_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.33 ^ clkbuf_opt_84_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_6_clk (net)
0.13 0.00 9.33 ^ clkbuf_opt_84_7_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 9.56 ^ clkbuf_opt_84_7_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_7_clk (net)
0.23 0.00 9.56 ^ clkbuf_opt_84_8_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.73 ^ clkbuf_opt_84_8_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_8_clk (net)
0.13 0.00 9.73 ^ clkbuf_opt_84_9_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 9.95 ^ clkbuf_opt_84_9_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_9_clk (net)
0.21 0.00 9.95 ^ clkbuf_opt_84_10_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.12 ^ clkbuf_opt_84_10_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_10_clk (net)
0.13 0.00 10.12 ^ clkbuf_opt_84_11_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.34 ^ clkbuf_opt_84_11_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_11_clk (net)
0.22 0.00 10.34 ^ clkbuf_opt_84_12_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.52 ^ clkbuf_opt_84_12_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_12_clk (net)
0.13 0.00 10.52 ^ clkbuf_opt_84_13_clk/A (sky130_fd_sc_hd__buf_1)
0.73 0.58 11.10 ^ clkbuf_opt_84_13_clk/X (sky130_fd_sc_hd__buf_1)
1 0.06 clknet_opt_84_13_clk (net)
0.73 0.00 11.10 ^ _122435_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.20 0.61 11.70 ^ _122435_/Q (sky130_fd_sc_hd__dfxtp_4)
1 0.07 net42 (net)
0.20 0.02 11.72 ^ output42/A (sky130_fd_sc_hd__buf_6)
0.07 0.16 11.88 ^ output42/X (sky130_fd_sc_hd__buf_6)
1 0.03 dBusWB_DAT_MOSI[16] (net)
0.07 0.00 11.88 ^ dBusWB_DAT_MOSI[16] (out)
11.88 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-11.88 data arrival time
-----------------------------------------------------------------------------
-2.88 slack (VIOLATED)
==========================================================================
cts final report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[11] (input port clocked by clk)
Endpoint: _145468_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[11] (in)
1 0.04 externalResetVector[11] (net)
0.01 0.00 1.00 ^ input2/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.07 ^ input2/X (sky130_fd_sc_hd__buf_16)
1 0.00 net2 (net)
0.02 0.00 1.07 ^ hold415/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.65 1.73 ^ hold415/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3280 (net)
0.21 0.00 1.73 ^ hold416/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 2.43 ^ hold416/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3281 (net)
0.21 0.00 2.43 ^ hold417/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 3.13 ^ hold417/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3282 (net)
0.21 0.00 3.14 ^ hold418/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 3.84 ^ hold418/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3283 (net)
0.21 0.00 3.84 ^ hold419/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 4.54 ^ hold419/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3284 (net)
0.21 0.00 4.54 ^ hold420/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 5.24 ^ hold420/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3285 (net)
0.21 0.00 5.24 ^ hold421/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 5.95 ^ hold421/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3286 (net)
0.21 0.00 5.95 ^ hold422/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 6.65 ^ hold422/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3287 (net)
0.21 0.00 6.65 ^ hold423/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 7.35 ^ hold423/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3288 (net)
0.21 0.00 7.35 ^ hold424/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 8.06 ^ hold424/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3289 (net)
0.21 0.00 8.06 ^ hold425/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 8.76 ^ hold425/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3290 (net)
0.21 0.00 8.76 ^ hold426/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 9.46 ^ hold426/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3291 (net)
0.21 0.00 9.46 ^ hold427/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.21 0.70 10.17 ^ hold427/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3292 (net)
0.21 0.00 10.17 ^ hold428/A (sky130_fd_sc_hd__clkbuf_4)
0.13 0.26 10.43 ^ hold428/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net3279 (net)
0.13 0.01 10.43 ^ _122241_/A_N (sky130_fd_sc_hd__nand2b_1)
0.11 0.12 10.55 ^ _122241_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000035_ (net)
0.11 0.00 10.55 ^ _145468_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
10.55 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 10.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 10.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 10.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 10.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 10.60 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.24 10.84 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.21 0.00 10.84 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.18 11.03 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.15 0.00 11.03 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 11.25 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.00 11.25 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 11.43 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.13 0.00 11.43 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.65 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.00 11.65 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.83 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.13 0.00 11.83 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 11.96 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 11.96 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 12.38 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.00 12.38 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 12.64 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.23 0.00 12.64 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 12.82 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.13 0.00 12.82 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 13.04 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.21 0.00 13.04 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 13.22 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.14 0.00 13.22 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 13.44 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.00 13.44 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 13.62 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.14 0.00 13.62 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 14.10 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.00 14.10 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 14.37 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 14.37 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.55 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.14 0.00 14.55 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.19 0.20 14.75 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.19 0.00 14.75 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.43 15.18 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.50 0.00 15.18 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.25 15.43 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.20 0.00 15.43 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 15.61 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.14 0.00 15.61 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 15.75 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 15.75 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 16.15 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.50 0.00 16.16 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 16.38 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.17 0.00 16.38 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 16.64 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.00 16.64 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 16.82 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 16.82 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.36 17.18 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.42 0.00 17.18 ^ clkbuf_7_52_0_clk/A (sky130_fd_sc_hd__buf_1)
0.91 0.73 17.91 ^ clkbuf_7_52_0_clk/X (sky130_fd_sc_hd__buf_1)
7 0.08 clknet_7_52_0_clk (net)
0.91 0.00 17.91 ^ clkbuf_leaf_226_clk/A (sky130_fd_sc_hd__buf_1)
0.78 0.67 18.58 ^ clkbuf_leaf_226_clk/X (sky130_fd_sc_hd__buf_1)
19 0.07 clknet_leaf_226_clk (net)
0.78 0.00 18.58 ^ _145468_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 18.58 clock reconvergence pessimism
0.05 18.63 library recovery time
18.63 data required time
-----------------------------------------------------------------------------
18.63 data required time
-10.55 data arrival time
-----------------------------------------------------------------------------
8.08 slack (MET)
Startpoint: _122435_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: dBusWB_DAT_MOSI[16] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.20 clk (net)
0.00 0.00 0.00 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.36 0.31 0.31 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.35 net2865 (net)
0.36 0.01 0.32 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.60 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.00 0.60 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.85 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.22 0.00 0.85 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 1.02 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.12 0.00 1.02 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 1.25 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.23 0.00 1.25 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.42 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.00 1.42 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.64 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.00 1.64 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.82 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.14 0.00 1.82 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 1.95 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 1.95 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.53 2.48 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.68 0.00 2.48 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 2.76 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.23 0.00 2.76 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.94 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.14 0.00 2.94 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 3.17 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.23 0.00 3.17 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 3.35 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.12 0.00 3.35 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.56 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.21 0.00 3.56 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.74 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 3.74 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.59 0.48 4.23 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.59 0.00 4.23 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.26 4.49 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.21 0.00 4.49 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.67 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.67 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 4.87 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 4.87 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.44 5.30 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.00 5.30 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.56 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.00 5.56 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 5.73 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.12 0.00 5.73 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 5.87 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.09 0.00 5.87 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.41 6.28 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.50 0.00 6.28 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.50 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_21_0_clk (net)
0.17 0.00 6.50 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.36 6.86 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_21_1_clk (net)
0.41 0.00 6.86 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.05 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_42_0_clk (net)
0.13 0.00 7.05 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1)
0.37 0.33 7.38 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_42_1_clk (net)
0.37 0.00 7.38 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.55 7.93 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.06 clknet_7_85_0_clk (net)
0.65 0.00 7.93 ^ clkbuf_opt_84_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.21 8.14 ^ clkbuf_opt_84_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_0_clk (net)
0.14 0.00 8.14 ^ clkbuf_opt_84_1_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 8.37 ^ clkbuf_opt_84_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_1_clk (net)
0.23 0.00 8.37 ^ clkbuf_opt_84_2_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.54 ^ clkbuf_opt_84_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_2_clk (net)
0.13 0.00 8.54 ^ clkbuf_opt_84_3_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 8.76 ^ clkbuf_opt_84_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_3_clk (net)
0.22 0.00 8.76 ^ clkbuf_opt_84_4_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 8.93 ^ clkbuf_opt_84_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_4_clk (net)
0.13 0.00 8.93 ^ clkbuf_opt_84_5_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.15 ^ clkbuf_opt_84_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_5_clk (net)
0.22 0.00 9.15 ^ clkbuf_opt_84_6_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.33 ^ clkbuf_opt_84_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_6_clk (net)
0.13 0.00 9.33 ^ clkbuf_opt_84_7_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 9.56 ^ clkbuf_opt_84_7_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_7_clk (net)
0.23 0.00 9.56 ^ clkbuf_opt_84_8_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.73 ^ clkbuf_opt_84_8_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_8_clk (net)
0.13 0.00 9.73 ^ clkbuf_opt_84_9_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 9.95 ^ clkbuf_opt_84_9_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_9_clk (net)
0.21 0.00 9.95 ^ clkbuf_opt_84_10_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.12 ^ clkbuf_opt_84_10_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_10_clk (net)
0.13 0.00 10.12 ^ clkbuf_opt_84_11_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.34 ^ clkbuf_opt_84_11_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_11_clk (net)
0.22 0.00 10.34 ^ clkbuf_opt_84_12_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 10.52 ^ clkbuf_opt_84_12_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_12_clk (net)
0.13 0.00 10.52 ^ clkbuf_opt_84_13_clk/A (sky130_fd_sc_hd__buf_1)
0.73 0.58 11.10 ^ clkbuf_opt_84_13_clk/X (sky130_fd_sc_hd__buf_1)
1 0.06 clknet_opt_84_13_clk (net)
0.73 0.00 11.10 ^ _122435_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.20 0.61 11.70 ^ _122435_/Q (sky130_fd_sc_hd__dfxtp_4)
1 0.07 net42 (net)
0.20 0.02 11.72 ^ output42/A (sky130_fd_sc_hd__buf_6)
0.07 0.16 11.88 ^ output42/X (sky130_fd_sc_hd__buf_6)
1 0.03 dBusWB_DAT_MOSI[16] (net)
0.07 0.00 11.88 ^ dBusWB_DAT_MOSI[16] (out)
11.88 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-11.88 data arrival time
-----------------------------------------------------------------------------
-2.88 slack (VIOLATED)
==========================================================================
cts final report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
clkbuf_leaf_106_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_90_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.73 -0.23 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.73 -0.22 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.67 -0.17 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.67 -0.16 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.58 -0.08 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.58 -0.08 (VIOLATED)
clkbuf_leaf_254_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_259_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_263_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_264_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_265_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_268_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_269_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_270_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_271_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_272_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_opt_58_0_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_opt_59_0_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_opt_60_0_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_7_51_0_clk/X 1.51 1.57 -0.06 (VIOLATED)
clkbuf_leaf_62_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_65_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_85_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_92_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_93_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_94_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_95_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_96_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_97_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_98_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_leaf_99_clk/A 1.50 1.51 -0.01 (VIOLATED)
clkbuf_opt_11_0_clk/A 1.50 1.51 -0.01 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.43 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.42 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_51_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
==========================================================================
cts final max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 72
==========================================================================
cts final max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
cts final max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 997
==========================================================================
cts final report_tns
--------------------------------------------------------------------------
tns -89.55
==========================================================================
cts final report_wns
--------------------------------------------------------------------------
wns -2.88
==========================================================================
cts final report_worst_slack
--------------------------------------------------------------------------
worst slack -2.88
==========================================================================
cts final report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
9.21
_143176_/CLK ^
7.56 0.00 1.66
==========================================================================
cts final report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 1.00e-01 3.18e-03 2.04e-07 1.03e-01 58.0%
Combinational 1.77e-02 5.73e-02 2.28e-07 7.50e-02 42.0%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.18e-01 6.05e-02 4.32e-07 1.78e-01 100.0%
66.1% 33.9% 0.0%
==========================================================================
cts final report_design_area
--------------------------------------------------------------------------
Design area 1432774 u^2 7% utilization.
Elapsed time: 1:19.60[h:]min:sec. CPU time: user 79.03 sys 0.52 (99%). Peak memory: 1647848KB.