You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

2551 lines
195 KiB
Plaintext

OpenROAD v2.0-1901-g6157d4945
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0223] Created 11 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0225] Created 437 library cells
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/4_cts.def
[INFO ODB-0128] Design: A2P_WB
[INFO ODB-0094] Created 100000 Insts
[INFO ODB-0094] Created 200000 Insts
[INFO ODB-0094] Created 300000 Insts
[INFO ODB-0094] Created 400000 Insts
[INFO ODB-0094] Created 500000 Insts
[INFO ODB-0094] Created 600000 Insts
[INFO ODB-0094] Created 700000 Insts
[INFO ODB-0094] Created 800000 Insts
[INFO ODB-0094] Created 900000 Insts
[INFO ODB-0094] Created 1000000 Insts
[INFO ODB-0094] Created 1100000 Insts
[INFO ODB-0094] Created 1200000 Insts
[INFO ODB-0094] Created 1300000 Insts
[INFO ODB-0094] Created 1400000 Insts
[INFO ODB-0094] Created 1500000 Insts
[INFO ODB-0094] Created 1600000 Insts
[INFO ODB-0094] Created 1700000 Insts
[INFO ODB-0094] Created 1800000 Insts
[INFO ODB-0094] Created 1900000 Insts
[INFO ODB-0094] Created 2000000 Insts
[INFO ODB-0094] Created 2100000 Insts
[INFO ODB-0094] Created 2200000 Insts
[INFO ODB-0094] Created 2300000 Insts
[INFO ODB-0094] Created 2400000 Insts
[INFO ODB-0094] Created 2500000 Insts
[INFO ODB-0094] Created 2600000 Insts
[INFO ODB-0130] Created 254 pins.
[INFO ODB-0131] Created 2678418 components and 5653814 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5356836 connections.
[INFO ODB-0133] Created 83037 nets and 296650 connections.
[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/4_cts.def
[INFO GRT-0020] Min routing layer: met1
[INFO GRT-0021] Max routing layer: met5
[INFO GRT-0022] Global adjustment: 0%
[INFO GRT-0023] Grid origin: (0, 0)
[WARNING GRT-0043] No OR_DEFAULT vias defined.
[INFO GRT-0224] Chose via L1M1_PR as default.
[INFO GRT-0224] Chose via M1M2_PR as default.
[INFO GRT-0224] Chose via M2M3_PR as default.
[INFO GRT-0224] Chose via M3M4_PR as default.
[INFO GRT-0224] Chose via M4M5_PR as default.
[INFO GRT-0088] Layer li1 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met1 Track-Pitch = 0.3400 line-2-Via Pitch: 0.3400
[INFO GRT-0088] Layer met2 Track-Pitch = 0.4600 line-2-Via Pitch: 0.3500
[INFO GRT-0088] Layer met3 Track-Pitch = 0.6800 line-2-Via Pitch: 0.6150
[INFO GRT-0088] Layer met4 Track-Pitch = 0.9200 line-2-Via Pitch: 1.0400
[INFO GRT-0088] Layer met5 Track-Pitch = 3.4000 line-2-Via Pitch: 3.1100
[INFO GRT-0003] Macros: 0
[INFO GRT-0004] Blockages: 390489
[INFO GRT-0019] Found 1688 clock nets.
[INFO GRT-0001] Minimum degree: 2
[INFO GRT-0002] Maximum degree: 74
[INFO GRT-0017] Processing 5751588 blockages on layer met1.
[INFO GRT-0017] Processing 352 blockages on layer met4.
[INFO GRT-0017] Processing 306 blockages on layer met5.
[INFO GRT-0053] Routing resources analysis:
Routing Original Derated Resource
Layer Direction Resources Resources Reduction (%)
---------------------------------------------------------------
li1 Vertical 0 0 0.00%
met1 Horizontal 10045020 3964411 60.53%
met2 Vertical 7533765 4522140 39.98%
met3 Horizontal 5022510 3018528 39.90%
met4 Vertical 3013506 1510488 49.88%
met5 Horizontal 1004502 502336 49.99%
---------------------------------------------------------------
[INFO GRT-0191] Wirelength: 707545, Wirelength1: 0
[INFO GRT-0192] Number of segments: 182774
[INFO GRT-0193] Number of shifts: 0
[INFO GRT-0097] First L Route.
[INFO GRT-0191] Wirelength: 707545, Wirelength1: 707545
[INFO GRT-0192] Number of segments: 182774
[INFO GRT-0193] Number of shifts: 0
[INFO GRT-0135] Overflow report.
[INFO GRT-0136] Total hCap : 7485275
[INFO GRT-0137] Total vCap : 6032628
[INFO GRT-0138] Total usage : 707545
[INFO GRT-0139] Max H overflow : 0
[INFO GRT-0140] Max V overflow : 3
[INFO GRT-0141] Max overflow : 3
[INFO GRT-0142] Number of overflow edges : 25
[INFO GRT-0143] H overflow : 0
[INFO GRT-0144] V overflow : 28
[INFO GRT-0145] Final overflow : 28
[INFO GRT-0098] Second L Route.
[INFO GRT-0135] Overflow report.
[INFO GRT-0136] Total hCap : 7485275
[INFO GRT-0137] Total vCap : 6032628
[INFO GRT-0138] Total usage : 707545
[INFO GRT-0139] Max H overflow : 1
[INFO GRT-0140] Max V overflow : 2
[INFO GRT-0141] Max overflow : 2
[INFO GRT-0142] Number of overflow edges : 23
[INFO GRT-0143] H overflow : 1
[INFO GRT-0144] V overflow : 23
[INFO GRT-0145] Final overflow : 24
[INFO GRT-0099] First Z Route.
[INFO GRT-0135] Overflow report.
[INFO GRT-0136] Total hCap : 7485275
[INFO GRT-0137] Total vCap : 6032628
[INFO GRT-0138] Total usage : 707545
[INFO GRT-0139] Max H overflow : 0
[INFO GRT-0140] Max V overflow : 1
[INFO GRT-0141] Max overflow : 1
[INFO GRT-0142] Number of overflow edges : 4
[INFO GRT-0143] H overflow : 0
[INFO GRT-0144] V overflow : 4
[INFO GRT-0145] Final overflow : 4
[INFO GRT-0100] LV routing round 0, enlarge 10.
[INFO GRT-0182] 10 threshold, 10 expand.
[INFO GRT-0126] Overflow report:
[INFO GRT-0127] Total usage : 707545
[INFO GRT-0128] Max H overflow : 0
[INFO GRT-0129] Max V overflow : 7
[INFO GRT-0130] Max overflow : 7
[INFO GRT-0131] Number overflow edges: 855
[INFO GRT-0132] H overflow : 0
[INFO GRT-0133] V overflow : 1698
[INFO GRT-0134] Final overflow : 1698
[INFO GRT-0100] LV routing round 1, enlarge 15.
[INFO GRT-0182] 5 threshold, 15 expand.
[INFO GRT-0126] Overflow report:
[INFO GRT-0127] Total usage : 707547
[INFO GRT-0128] Max H overflow : 0
[INFO GRT-0129] Max V overflow : 5
[INFO GRT-0130] Max overflow : 5
[INFO GRT-0131] Number overflow edges: 416
[INFO GRT-0132] H overflow : 0
[INFO GRT-0133] V overflow : 667
[INFO GRT-0134] Final overflow : 667
[INFO GRT-0100] LV routing round 2, enlarge 20.
[INFO GRT-0182] 1 threshold, 20 expand.
[INFO GRT-0126] Overflow report:
[INFO GRT-0127] Total usage : 707547
[INFO GRT-0128] Max H overflow : 0
[INFO GRT-0129] Max V overflow : 6
[INFO GRT-0130] Max overflow : 6
[INFO GRT-0131] Number overflow edges: 306
[INFO GRT-0132] H overflow : 0
[INFO GRT-0133] V overflow : 575
[INFO GRT-0134] Final overflow : 575
[INFO GRT-0101] Running extra iterations to remove overflow.
[INFO GRT-0102] Iteration 1
[INFO GRT-0126] Overflow report:
[INFO GRT-0127] Total usage : 707438
[INFO GRT-0128] Max H overflow : 0
[INFO GRT-0129] Max V overflow : 0
[INFO GRT-0130] Max overflow : 0
[INFO GRT-0131] Number overflow edges: 0
[INFO GRT-0132] H overflow : 0
[INFO GRT-0133] V overflow : 0
[INFO GRT-0134] Final overflow : 0
Usage checked
[INFO GRT-0105] Maze routing finished.
Final 2D results:
[INFO GRT-0126] Overflow report:
[INFO GRT-0127] Total usage : 707438
[INFO GRT-0128] Max H overflow : 0
[INFO GRT-0129] Max V overflow : 0
[INFO GRT-0130] Max overflow : 0
[INFO GRT-0131] Number overflow edges: 0
[INFO GRT-0132] H overflow : 0
[INFO GRT-0133] V overflow : 0
[INFO GRT-0134] Final overflow : 0
[INFO GRT-0106] Layer assignment begins.
[INFO GRT-0107] Layer assignment finished.
[INFO GRT-0108] Post-processing begins.
[INFO GRT-0109] Post-processing finished.
Starting via filling.
[INFO GRT-0197] Via related to pin nodes: 407365
[INFO GRT-0198] Via related Steiner nodes: 10962
[INFO GRT-0199] Via filling finished.
[INFO GRT-0111] Final number of vias: 492352
[INFO GRT-0112] Final usage 3D: 2176281
[WARNING GRT-0211] dbGcellGrid already exists in db. Clearing existing dbGCellGrid.
[INFO GRT-0096] Final congestion report:
Layer Resource Demand Usage (%) Max H / Max V / Total Overflow
---------------------------------------------------------------------------------------
li1 0 0 0.00% 0 / 0 / 0
met1 3964411 289713 7.31% 0 / 0 / 0
met2 4522140 296807 6.56% 0 / 0 / 0
met3 3018528 77787 2.58% 0 / 0 / 0
met4 1510488 34726 2.30% 0 / 0 / 0
met5 502336 192 0.04% 0 / 0 / 0
---------------------------------------------------------------------------------------
Total 13517903 699225 5.17% 0 / 0 / 0
[INFO GRT-0018] Total wirelength: 6730404 um
[INFO GRT-0014] Routed nets: 82928
==========================================================================
global route report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[15] (input port clocked by clk)
Endpoint: _145472_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[15] (in)
1 0.04 externalResetVector[15] (net)
0.01 0.00 1.00 v input6/A (sky130_fd_sc_hd__buf_16)
0.02 0.10 1.11 v input6/X (sky130_fd_sc_hd__buf_16)
1 0.00 net6 (net)
0.02 0.00 1.11 v hold79/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.64 1.74 v hold79/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2944 (net)
0.14 0.01 1.75 v hold80/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 2.44 v hold80/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2945 (net)
0.14 0.01 2.45 v hold81/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 3.13 v hold81/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2946 (net)
0.14 0.01 3.14 v hold82/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 3.83 v hold82/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2947 (net)
0.15 0.01 3.84 v hold83/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 4.53 v hold83/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2948 (net)
0.14 0.00 4.53 v hold84/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 5.21 v hold84/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2949 (net)
0.14 0.01 5.22 v hold85/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 5.90 v hold85/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2950 (net)
0.14 0.00 5.91 v hold86/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 6.60 v hold86/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2951 (net)
0.14 0.01 6.60 v hold87/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 7.29 v hold87/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2952 (net)
0.15 0.01 7.30 v hold88/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 7.99 v hold88/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2953 (net)
0.14 0.01 8.00 v hold89/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 8.69 v hold89/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2954 (net)
0.15 0.01 8.69 v hold90/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 9.38 v hold90/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2955 (net)
0.14 0.01 9.39 v hold91/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 10.08 v hold91/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2956 (net)
0.14 0.01 10.09 v hold92/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.23 10.31 v hold92/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net2943 (net)
0.10 0.02 10.33 v _122280_/B (sky130_fd_sc_hd__nand2_1)
0.07 0.10 10.43 ^ _122280_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000044_ (net)
0.07 0.00 10.43 ^ _145472_/SET_B (sky130_fd_sc_hd__dfbbp_1)
10.43 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.02 2.62 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 2.89 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.24 0.01 2.90 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 3.09 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.14 0.01 3.09 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.30 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.20 0.01 3.31 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.48 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 3.49 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.21 3.70 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.01 3.70 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.88 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 3.88 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.58 0.45 4.34 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.58 0.03 4.36 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 4.63 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 4.63 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 4.83 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.16 0.01 4.83 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 5.03 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.18 0.01 5.03 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.37 5.41 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.43 0.01 5.42 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.25 5.66 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.21 0.01 5.67 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.18 5.86 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.15 0.01 5.86 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 6.00 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.10 0.00 6.01 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.46 0.38 6.39 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_6_3_clk (net)
0.46 0.01 6.40 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 6.63 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_13_0_clk (net)
0.18 0.01 6.63 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.26 6.89 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.26 0.01 6.90 ^ clkbuf_6_26_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 7.07 ^ clkbuf_6_26_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_26_0_clk (net)
0.13 0.00 7.08 ^ clkbuf_6_26_1_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.35 7.43 ^ clkbuf_6_26_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_26_1_clk (net)
0.41 0.01 7.44 ^ clkbuf_7_53_0_clk/A (sky130_fd_sc_hd__buf_1)
1.07 0.84 8.28 ^ clkbuf_7_53_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.09 clknet_7_53_0_clk (net)
1.07 0.01 8.29 ^ clkbuf_leaf_227_clk/A (sky130_fd_sc_hd__buf_1)
1.26 0.97 9.26 ^ clkbuf_leaf_227_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_227_clk (net)
1.26 0.03 9.29 ^ _145472_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 9.29 clock reconvergence pessimism
0.32 9.61 library removal time
9.61 data required time
-----------------------------------------------------------------------------
9.61 data required time
-10.43 data arrival time
-----------------------------------------------------------------------------
0.81 slack (MET)
Startpoint: _144887_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: _145489_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 0.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.25 1.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.23 0.01 1.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 1.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.01 1.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.01 1.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.01 1.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 2.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.16 0.01 2.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.10 0.00 2.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.50 2.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.65 0.03 2.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 3.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.01 3.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 3.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 3.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.01 3.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 3.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 3.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.20 0.01 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 4.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.45 4.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.56 0.02 4.50 ^ clkbuf_3_4_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 4.77 ^ clkbuf_3_4_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_4_0_clk (net)
0.23 0.01 4.78 ^ clkbuf_3_4_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.96 ^ clkbuf_3_4_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_1_clk (net)
0.14 0.01 4.96 ^ clkbuf_3_4_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 5.16 ^ clkbuf_3_4_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_2_clk (net)
0.18 0.01 5.16 ^ clkbuf_3_4_3_clk/A (sky130_fd_sc_hd__buf_1)
0.38 0.34 5.50 ^ clkbuf_3_4_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_3_4_3_clk (net)
0.38 0.01 5.51 ^ clkbuf_4_8_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.25 5.76 ^ clkbuf_4_8_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_8_0_clk (net)
0.21 0.00 5.77 ^ clkbuf_4_8_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 5.94 ^ clkbuf_4_8_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_1_clk (net)
0.13 0.00 5.94 ^ clkbuf_4_8_2_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 6.07 ^ clkbuf_4_8_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_2_clk (net)
0.08 0.00 6.08 ^ clkbuf_4_8_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.40 6.48 ^ clkbuf_4_8_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_8_3_clk (net)
0.51 0.02 6.50 ^ clkbuf_5_16_0_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.22 6.72 ^ clkbuf_5_16_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_16_0_clk (net)
0.16 0.01 6.72 ^ clkbuf_5_16_1_clk/A (sky130_fd_sc_hd__buf_1)
0.29 0.27 7.00 ^ clkbuf_5_16_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_16_1_clk (net)
0.29 0.01 7.00 ^ clkbuf_6_33_0_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 7.18 ^ clkbuf_6_33_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_33_0_clk (net)
0.12 0.00 7.18 ^ clkbuf_6_33_1_clk/A (sky130_fd_sc_hd__buf_1)
0.39 0.33 7.52 ^ clkbuf_6_33_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_33_1_clk (net)
0.39 0.01 7.53 ^ clkbuf_7_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.54 0.47 7.99 ^ clkbuf_7_66_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.05 clknet_7_66_0_clk (net)
0.54 0.01 8.01 ^ clkbuf_leaf_928_clk/A (sky130_fd_sc_hd__buf_1)
0.90 0.71 8.72 ^ clkbuf_leaf_928_clk/X (sky130_fd_sc_hd__buf_1)
17 0.08 clknet_leaf_928_clk (net)
0.90 0.03 8.75 ^ _144887_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.08 0.54 9.29 v _144887_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.04 dataCache_1_.stageB_mmuRsp_isIoAccess (net)
0.08 0.01 9.30 v _122189_/A (sky130_fd_sc_hd__clkinv_16)
0.09 0.07 9.36 ^ _122189_/Y (sky130_fd_sc_hd__clkinv_16)
1 0.31 _023083_ (net)
0.56 0.27 9.64 ^ _145489_/D (sky130_fd_sc_hd__dfxtp_4)
9.64 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 0.80 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.23 1.03 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.20 0.00 1.03 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 1.22 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.15 0.00 1.22 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.45 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.22 0.01 1.45 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 1.64 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.15 0.00 1.64 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.87 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_4_clk (net)
0.22 0.01 1.87 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 2.05 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.06 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 2.20 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.09 0.00 2.20 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.41 2.61 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_1_0_7_clk (net)
0.51 0.02 2.62 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 2.89 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.24 0.01 2.90 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 3.09 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.14 0.01 3.09 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.30 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.20 0.01 3.31 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.48 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.13 0.00 3.49 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.21 3.70 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.01 3.70 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 3.88 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.13 0.00 3.88 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.58 0.45 4.34 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.58 0.03 4.36 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 4.63 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.22 0.00 4.63 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 4.83 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.16 0.01 4.83 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 5.03 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.18 0.01 5.03 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.37 5.41 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.43 0.01 5.42 ^ clkbuf_4_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.25 5.66 ^ clkbuf_4_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_7_0_clk (net)
0.21 0.01 5.67 ^ clkbuf_4_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 5.84 ^ clkbuf_4_7_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_1_clk (net)
0.12 0.00 5.84 ^ clkbuf_4_7_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 5.98 ^ clkbuf_4_7_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_2_clk (net)
0.09 0.00 5.98 ^ clkbuf_4_7_3_clk/A (sky130_fd_sc_hd__buf_1)
0.49 0.39 6.37 ^ clkbuf_4_7_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_7_3_clk (net)
0.49 0.02 6.39 ^ clkbuf_5_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 6.62 ^ clkbuf_5_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_14_0_clk (net)
0.18 0.00 6.62 ^ clkbuf_5_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.40 0.35 6.97 ^ clkbuf_5_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_14_1_clk (net)
0.40 0.01 6.98 ^ clkbuf_6_29_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 7.18 ^ clkbuf_6_29_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_29_0_clk (net)
0.14 0.01 7.18 ^ clkbuf_6_29_1_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.28 7.47 ^ clkbuf_6_29_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_29_1_clk (net)
0.31 0.01 7.47 ^ clkbuf_7_59_0_clk/A (sky130_fd_sc_hd__buf_1)
0.69 0.57 8.04 ^ clkbuf_7_59_0_clk/X (sky130_fd_sc_hd__buf_1)
5 0.06 clknet_7_59_0_clk (net)
0.69 0.01 8.06 ^ clkbuf_opt_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.22 8.28 ^ clkbuf_opt_66_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_0_clk (net)
0.14 0.00 8.28 ^ clkbuf_opt_66_1_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 8.50 ^ clkbuf_opt_66_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_66_1_clk (net)
0.22 0.01 8.51 ^ clkbuf_opt_66_2_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 8.69 ^ clkbuf_opt_66_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_2_clk (net)
0.14 0.00 8.69 ^ clkbuf_opt_66_3_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 8.92 ^ clkbuf_opt_66_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_66_3_clk (net)
0.23 0.01 8.93 ^ clkbuf_opt_66_4_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 9.12 ^ clkbuf_opt_66_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_4_clk (net)
0.15 0.01 9.12 ^ clkbuf_opt_66_5_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.35 ^ clkbuf_opt_66_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_66_5_clk (net)
0.22 0.01 9.35 ^ clkbuf_opt_66_6_clk/A (sky130_fd_sc_hd__buf_1)
0.36 0.33 9.68 ^ clkbuf_opt_66_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_opt_66_6_clk (net)
0.36 0.01 9.69 ^ _145489_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.00 9.69 clock reconvergence pessimism
-0.08 9.61 library hold time
9.61 data required time
-----------------------------------------------------------------------------
9.61 data required time
-9.64 data arrival time
-----------------------------------------------------------------------------
0.03 slack (MET)
==========================================================================
global route report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[24] (input port clocked by clk)
Endpoint: _145481_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[24] (in)
1 0.05 externalResetVector[24] (net)
0.01 0.00 1.00 ^ input16/A (sky130_fd_sc_hd__buf_16)
0.03 0.07 1.08 ^ input16/X (sky130_fd_sc_hd__buf_16)
1 0.00 net16 (net)
0.03 0.00 1.08 ^ hold331/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.67 1.75 ^ hold331/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3196 (net)
0.24 0.01 1.76 ^ hold332/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 2.49 ^ hold332/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3197 (net)
0.24 0.01 2.50 ^ hold333/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 3.23 ^ hold333/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3198 (net)
0.25 0.01 3.24 ^ hold334/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 3.97 ^ hold334/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3199 (net)
0.25 0.01 3.98 ^ hold335/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 4.70 ^ hold335/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3200 (net)
0.25 0.01 4.72 ^ hold336/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.26 0.74 5.45 ^ hold336/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3201 (net)
0.26 0.02 5.47 ^ hold337/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.26 0.74 6.21 ^ hold337/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3202 (net)
0.26 0.01 6.22 ^ hold338/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 6.95 ^ hold338/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3203 (net)
0.25 0.01 6.96 ^ hold339/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.72 7.68 ^ hold339/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3204 (net)
0.24 0.01 7.70 ^ hold340/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 8.42 ^ hold340/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3205 (net)
0.25 0.01 8.43 ^ hold341/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 9.16 ^ hold341/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3206 (net)
0.24 0.01 9.17 ^ hold342/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.27 0.74 9.92 ^ hold342/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3207 (net)
0.27 0.01 9.93 ^ hold343/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.72 10.65 ^ hold343/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3208 (net)
0.23 0.01 10.66 ^ hold344/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.27 10.93 ^ hold344/X (sky130_fd_sc_hd__clkbuf_4)
2 0.05 net3195 (net)
0.15 0.01 10.94 ^ _122255_/A_N (sky130_fd_sc_hd__nand2b_1)
0.19 0.13 11.07 ^ _122255_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000061_ (net)
0.19 0.00 11.07 ^ _145481_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.07 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 10.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 10.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 10.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 10.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 10.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.25 11.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.23 0.01 11.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 11.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 11.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.01 11.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.01 11.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 11.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.01 11.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 12.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.16 0.01 12.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 12.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.10 0.00 12.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.50 12.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.65 0.03 12.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 13.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.01 13.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 13.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 13.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 13.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.01 13.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 13.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 13.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 13.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.20 0.01 13.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 14.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.45 14.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.56 0.02 14.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 14.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.24 0.01 14.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 14.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 15.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.01 15.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.41 15.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.48 0.01 15.59 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.84 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.22 0.01 15.85 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 16.02 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.12 0.00 16.02 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 16.16 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.10 0.00 16.17 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.39 16.56 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.48 0.01 16.57 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 16.80 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_23_0_clk (net)
0.18 0.01 16.81 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 17.18 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.42 0.01 17.19 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 17.39 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.14 0.00 17.39 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.36 17.75 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.43 0.02 17.77 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.95 0.74 18.51 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.08 clknet_7_94_0_clk (net)
0.95 0.03 18.54 ^ clkbuf_leaf_818_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.58 19.12 ^ clkbuf_leaf_818_clk/X (sky130_fd_sc_hd__buf_1)
15 0.06 clknet_leaf_818_clk (net)
0.68 0.02 19.14 ^ _145481_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 19.14 clock reconvergence pessimism
0.03 19.16 library recovery time
19.16 data required time
-----------------------------------------------------------------------------
19.16 data required time
-11.07 data arrival time
-----------------------------------------------------------------------------
8.09 slack (MET)
Startpoint: _122435_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: dBusWB_DAT_MOSI[16] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 0.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.25 1.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.23 0.01 1.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 1.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.01 1.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.01 1.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.01 1.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 2.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.16 0.01 2.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.10 0.00 2.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.50 2.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.65 0.03 2.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 3.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.01 3.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 3.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 3.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.01 3.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 3.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 3.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.20 0.01 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 4.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.45 4.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.56 0.02 4.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 4.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.24 0.01 4.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 5.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.01 5.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.41 5.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.48 0.01 5.59 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.85 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.01 5.85 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 6.03 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.14 0.00 6.04 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.15 6.19 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.12 0.00 6.20 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.49 0.40 6.59 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.49 0.02 6.61 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.84 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_21_0_clk (net)
0.18 0.00 6.84 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1)
0.40 0.34 7.19 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_21_1_clk (net)
0.40 0.01 7.20 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 7.40 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_42_0_clk (net)
0.15 0.01 7.41 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 7.72 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_42_1_clk (net)
0.36 0.01 7.73 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.52 8.26 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.05 clknet_7_85_0_clk (net)
0.63 0.02 8.28 ^ clkbuf_opt_84_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.21 8.49 ^ clkbuf_opt_84_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_0_clk (net)
0.14 0.01 8.50 ^ clkbuf_opt_84_1_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 8.74 ^ clkbuf_opt_84_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_1_clk (net)
0.24 0.01 8.74 ^ clkbuf_opt_84_2_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 8.92 ^ clkbuf_opt_84_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_2_clk (net)
0.13 0.00 8.93 ^ clkbuf_opt_84_3_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.15 ^ clkbuf_opt_84_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_3_clk (net)
0.22 0.01 9.16 ^ clkbuf_opt_84_4_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.33 ^ clkbuf_opt_84_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_4_clk (net)
0.13 0.01 9.34 ^ clkbuf_opt_84_5_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 9.57 ^ clkbuf_opt_84_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_5_clk (net)
0.23 0.01 9.57 ^ clkbuf_opt_84_6_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 9.75 ^ clkbuf_opt_84_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_6_clk (net)
0.12 0.00 9.75 ^ clkbuf_opt_84_7_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 9.99 ^ clkbuf_opt_84_7_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_7_clk (net)
0.24 0.01 9.99 ^ clkbuf_opt_84_8_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 10.17 ^ clkbuf_opt_84_8_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_8_clk (net)
0.13 0.00 10.17 ^ clkbuf_opt_84_9_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.40 ^ clkbuf_opt_84_9_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_9_clk (net)
0.23 0.01 10.41 ^ clkbuf_opt_84_10_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 10.59 ^ clkbuf_opt_84_10_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_10_clk (net)
0.14 0.00 10.60 ^ clkbuf_opt_84_11_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 10.81 ^ clkbuf_opt_84_11_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_11_clk (net)
0.21 0.01 10.82 ^ clkbuf_opt_84_12_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 11.00 ^ clkbuf_opt_84_12_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_12_clk (net)
0.14 0.01 11.01 ^ clkbuf_opt_84_13_clk/A (sky130_fd_sc_hd__buf_1)
0.67 0.53 11.53 ^ clkbuf_opt_84_13_clk/X (sky130_fd_sc_hd__buf_1)
1 0.06 clknet_opt_84_13_clk (net)
0.67 0.03 11.56 ^ _122435_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.21 0.60 12.16 ^ _122435_/Q (sky130_fd_sc_hd__dfxtp_4)
1 0.07 net42 (net)
0.22 0.03 12.19 ^ output42/A (sky130_fd_sc_hd__buf_6)
0.07 0.16 12.35 ^ output42/X (sky130_fd_sc_hd__buf_6)
1 0.03 dBusWB_DAT_MOSI[16] (net)
0.08 0.01 12.37 ^ dBusWB_DAT_MOSI[16] (out)
12.37 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.37 data arrival time
-----------------------------------------------------------------------------
-3.37 slack (VIOLATED)
==========================================================================
global route report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[24] (input port clocked by clk)
Endpoint: _145481_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[24] (in)
1 0.05 externalResetVector[24] (net)
0.01 0.00 1.00 ^ input16/A (sky130_fd_sc_hd__buf_16)
0.03 0.07 1.08 ^ input16/X (sky130_fd_sc_hd__buf_16)
1 0.00 net16 (net)
0.03 0.00 1.08 ^ hold331/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.67 1.75 ^ hold331/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3196 (net)
0.24 0.01 1.76 ^ hold332/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 2.49 ^ hold332/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3197 (net)
0.24 0.01 2.50 ^ hold333/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 3.23 ^ hold333/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3198 (net)
0.25 0.01 3.24 ^ hold334/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 3.97 ^ hold334/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3199 (net)
0.25 0.01 3.98 ^ hold335/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 4.70 ^ hold335/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3200 (net)
0.25 0.01 4.72 ^ hold336/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.26 0.74 5.45 ^ hold336/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3201 (net)
0.26 0.02 5.47 ^ hold337/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.26 0.74 6.21 ^ hold337/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3202 (net)
0.26 0.01 6.22 ^ hold338/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 6.95 ^ hold338/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3203 (net)
0.25 0.01 6.96 ^ hold339/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.72 7.68 ^ hold339/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3204 (net)
0.24 0.01 7.70 ^ hold340/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.73 8.42 ^ hold340/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3205 (net)
0.25 0.01 8.43 ^ hold341/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.24 0.73 9.16 ^ hold341/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3206 (net)
0.24 0.01 9.17 ^ hold342/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.27 0.74 9.92 ^ hold342/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net3207 (net)
0.27 0.01 9.93 ^ hold343/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.72 10.65 ^ hold343/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3208 (net)
0.23 0.01 10.66 ^ hold344/A (sky130_fd_sc_hd__clkbuf_4)
0.15 0.27 10.93 ^ hold344/X (sky130_fd_sc_hd__clkbuf_4)
2 0.05 net3195 (net)
0.15 0.01 10.94 ^ _122255_/A_N (sky130_fd_sc_hd__nand2b_1)
0.19 0.13 11.07 ^ _122255_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000061_ (net)
0.19 0.00 11.07 ^ _145481_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.07 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 10.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 10.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 10.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 10.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 10.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.25 11.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.23 0.01 11.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 11.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 11.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 11.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.01 11.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.01 11.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 11.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.01 11.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 12.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.16 0.01 12.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 12.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.10 0.00 12.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.50 12.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.65 0.03 12.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 13.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.01 13.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 13.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 13.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 13.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.01 13.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 13.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 13.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 13.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.20 0.01 13.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 14.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.45 14.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.56 0.02 14.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 14.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.24 0.01 14.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 14.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 14.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 15.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.01 15.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.41 15.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.48 0.01 15.59 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 15.84 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.22 0.01 15.85 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 16.02 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.12 0.00 16.02 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.14 16.16 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.10 0.00 16.17 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.39 16.56 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.48 0.01 16.57 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 16.80 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_23_0_clk (net)
0.18 0.01 16.81 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.42 0.37 17.18 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.42 0.01 17.19 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 17.39 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.14 0.00 17.39 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.36 17.75 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.43 0.02 17.77 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.95 0.74 18.51 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.08 clknet_7_94_0_clk (net)
0.95 0.03 18.54 ^ clkbuf_leaf_818_clk/A (sky130_fd_sc_hd__buf_1)
0.68 0.58 19.12 ^ clkbuf_leaf_818_clk/X (sky130_fd_sc_hd__buf_1)
15 0.06 clknet_leaf_818_clk (net)
0.68 0.02 19.14 ^ _145481_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 19.14 clock reconvergence pessimism
0.03 19.16 library recovery time
19.16 data required time
-----------------------------------------------------------------------------
19.16 data required time
-11.07 data arrival time
-----------------------------------------------------------------------------
8.09 slack (MET)
Startpoint: _122435_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: dBusWB_DAT_MOSI[16] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.19 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.31 0.20 0.28 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.33 net2865 (net)
0.51 0.21 0.49 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.29 0.79 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.27 0.01 0.80 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.25 1.05 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.23 0.01 1.05 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 1.23 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.13 0.00 1.23 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 1.46 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.22 0.01 1.46 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.64 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.13 0.01 1.64 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 1.85 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.20 0.01 1.86 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.19 2.05 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.16 0.01 2.06 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 2.21 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.10 0.00 2.21 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.65 0.50 2.70 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.65 0.03 2.73 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.27 3.00 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.22 0.01 3.01 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.20 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 3.20 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 3.44 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.01 3.45 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 3.63 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.13 0.00 3.63 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.20 0.01 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.14 0.00 4.03 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.45 4.48 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.56 0.02 4.50 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.27 4.77 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.24 0.01 4.78 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.96 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 4.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 5.16 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.01 5.17 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.41 5.57 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.48 0.01 5.59 ^ clkbuf_4_10_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.26 5.85 ^ clkbuf_4_10_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_10_0_clk (net)
0.22 0.01 5.85 ^ clkbuf_4_10_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 6.03 ^ clkbuf_4_10_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_1_clk (net)
0.14 0.00 6.04 ^ clkbuf_4_10_2_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.15 6.19 ^ clkbuf_4_10_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_10_2_clk (net)
0.12 0.00 6.20 ^ clkbuf_4_10_3_clk/A (sky130_fd_sc_hd__buf_1)
0.49 0.40 6.59 ^ clkbuf_4_10_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_10_3_clk (net)
0.49 0.02 6.61 ^ clkbuf_5_21_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.84 ^ clkbuf_5_21_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_21_0_clk (net)
0.18 0.00 6.84 ^ clkbuf_5_21_1_clk/A (sky130_fd_sc_hd__buf_1)
0.40 0.34 7.19 ^ clkbuf_5_21_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_21_1_clk (net)
0.40 0.01 7.20 ^ clkbuf_6_42_0_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 7.40 ^ clkbuf_6_42_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_42_0_clk (net)
0.15 0.01 7.41 ^ clkbuf_6_42_1_clk/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 7.72 ^ clkbuf_6_42_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_42_1_clk (net)
0.36 0.01 7.73 ^ clkbuf_7_85_0_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.52 8.26 ^ clkbuf_7_85_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.05 clknet_7_85_0_clk (net)
0.63 0.02 8.28 ^ clkbuf_opt_84_0_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.21 8.49 ^ clkbuf_opt_84_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_0_clk (net)
0.14 0.01 8.50 ^ clkbuf_opt_84_1_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 8.74 ^ clkbuf_opt_84_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_1_clk (net)
0.24 0.01 8.74 ^ clkbuf_opt_84_2_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 8.92 ^ clkbuf_opt_84_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_2_clk (net)
0.13 0.00 8.93 ^ clkbuf_opt_84_3_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 9.15 ^ clkbuf_opt_84_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_3_clk (net)
0.22 0.01 9.16 ^ clkbuf_opt_84_4_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 9.33 ^ clkbuf_opt_84_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_4_clk (net)
0.13 0.01 9.34 ^ clkbuf_opt_84_5_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.23 9.57 ^ clkbuf_opt_84_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_5_clk (net)
0.23 0.01 9.57 ^ clkbuf_opt_84_6_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 9.75 ^ clkbuf_opt_84_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_6_clk (net)
0.12 0.00 9.75 ^ clkbuf_opt_84_7_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 9.99 ^ clkbuf_opt_84_7_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_7_clk (net)
0.24 0.01 9.99 ^ clkbuf_opt_84_8_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 10.17 ^ clkbuf_opt_84_8_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_8_clk (net)
0.13 0.00 10.17 ^ clkbuf_opt_84_9_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.22 10.40 ^ clkbuf_opt_84_9_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_9_clk (net)
0.23 0.01 10.41 ^ clkbuf_opt_84_10_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 10.59 ^ clkbuf_opt_84_10_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_10_clk (net)
0.14 0.00 10.60 ^ clkbuf_opt_84_11_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 10.81 ^ clkbuf_opt_84_11_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_opt_84_11_clk (net)
0.21 0.01 10.82 ^ clkbuf_opt_84_12_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 11.00 ^ clkbuf_opt_84_12_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_84_12_clk (net)
0.14 0.01 11.01 ^ clkbuf_opt_84_13_clk/A (sky130_fd_sc_hd__buf_1)
0.67 0.53 11.53 ^ clkbuf_opt_84_13_clk/X (sky130_fd_sc_hd__buf_1)
1 0.06 clknet_opt_84_13_clk (net)
0.67 0.03 11.56 ^ _122435_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.21 0.60 12.16 ^ _122435_/Q (sky130_fd_sc_hd__dfxtp_4)
1 0.07 net42 (net)
0.22 0.03 12.19 ^ output42/A (sky130_fd_sc_hd__buf_6)
0.07 0.16 12.35 ^ output42/X (sky130_fd_sc_hd__buf_6)
1 0.03 dBusWB_DAT_MOSI[16] (net)
0.08 0.01 12.37 ^ dBusWB_DAT_MOSI[16] (out)
12.37 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.37 data arrival time
-----------------------------------------------------------------------------
-3.37 slack (VIOLATED)
==========================================================================
global route report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
clkbuf_leaf_90_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_106_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.76 -0.26 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.76 -0.26 (VIOLATED)
_083721_/A 1.50 1.66 -0.16 (VIOLATED)
_081683_/A 1.50 1.65 -0.15 (VIOLATED)
_082228_/A 1.50 1.64 -0.14 (VIOLATED)
_099700_/A 1.50 1.64 -0.14 (VIOLATED)
_084887_/C1 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.64 -0.14 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.64 -0.14 (VIOLATED)
_082253_/A 1.50 1.63 -0.13 (VIOLATED)
_084888_/A1 1.50 1.63 -0.13 (VIOLATED)
_090030_/A 1.50 1.63 -0.13 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.63 -0.13 (VIOLATED)
_099701_/A1 1.50 1.62 -0.12 (VIOLATED)
_082273_/A 1.50 1.59 -0.09 (VIOLATED)
_083717_/A 1.50 1.59 -0.09 (VIOLATED)
_082034_/A 1.50 1.59 -0.09 (VIOLATED)
_074546_/B 1.50 1.59 -0.09 (VIOLATED)
_101103_/A 1.50 1.59 -0.09 (VIOLATED)
_074386_/B 1.50 1.59 -0.09 (VIOLATED)
_074630_/B 1.50 1.59 -0.09 (VIOLATED)
_084691_/A 1.50 1.59 -0.09 (VIOLATED)
_072937_/B 1.50 1.59 -0.09 (VIOLATED)
_074180_/B 1.50 1.59 -0.09 (VIOLATED)
_072687_/B 1.50 1.59 -0.09 (VIOLATED)
_082148_/A 1.50 1.59 -0.09 (VIOLATED)
_073381_/B 1.50 1.59 -0.09 (VIOLATED)
_101104_/A1 1.50 1.59 -0.09 (VIOLATED)
_116208_/B 1.50 1.58 -0.08 (VIOLATED)
_074288_/B 1.50 1.58 -0.08 (VIOLATED)
_073975_/B 1.50 1.58 -0.08 (VIOLATED)
_073692_/B 1.50 1.58 -0.08 (VIOLATED)
_074031_/B 1.50 1.58 -0.08 (VIOLATED)
_072823_/B 1.50 1.58 -0.08 (VIOLATED)
_115147_/B 1.50 1.58 -0.08 (VIOLATED)
_116047_/B 1.50 1.58 -0.08 (VIOLATED)
_116075_/B 1.50 1.57 -0.07 (VIOLATED)
_073817_/B 1.50 1.57 -0.07 (VIOLATED)
_117857_/B 1.50 1.57 -0.07 (VIOLATED)
_081968_/A 1.50 1.57 -0.07 (VIOLATED)
_111955_/B 1.50 1.57 -0.07 (VIOLATED)
_115511_/B 1.50 1.57 -0.07 (VIOLATED)
_115298_/B 1.50 1.57 -0.07 (VIOLATED)
_117938_/B 1.50 1.57 -0.07 (VIOLATED)
_115613_/B 1.50 1.57 -0.07 (VIOLATED)
_116312_/B 1.50 1.57 -0.07 (VIOLATED)
_073896_/B 1.50 1.57 -0.07 (VIOLATED)
_117749_/B 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.57 -0.07 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.57 -0.07 (VIOLATED)
_099021_/B1 1.50 1.57 -0.07 (VIOLATED)
_098691_/Y 1.50 1.56 -0.07 (VIOLATED)
_115709_/B 1.50 1.57 -0.07 (VIOLATED)
_115379_/B 1.50 1.57 -0.07 (VIOLATED)
_083935_/A 1.50 1.57 -0.07 (VIOLATED)
_089822_/A 1.50 1.57 -0.07 (VIOLATED)
_073532_/B 1.50 1.57 -0.07 (VIOLATED)
_074861_/B 1.50 1.56 -0.06 (VIOLATED)
_066290_/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.57 -0.06 (VIOLATED)
_076165_/B 1.50 1.56 -0.06 (VIOLATED)
_069108_/A 1.50 1.56 -0.06 (VIOLATED)
_078441_/B 1.50 1.55 -0.05 (VIOLATED)
_083288_/A 1.50 1.55 -0.05 (VIOLATED)
_081774_/A 1.50 1.55 -0.05 (VIOLATED)
_080731_/A 1.50 1.55 -0.05 (VIOLATED)
_086087_/A 1.50 1.55 -0.05 (VIOLATED)
_118154_/B 1.50 1.55 -0.05 (VIOLATED)
_112082_/B 1.50 1.55 -0.05 (VIOLATED)
_074700_/B 1.50 1.55 -0.05 (VIOLATED)
_061742_/B 1.50 1.54 -0.04 (VIOLATED)
_111488_/B 1.50 1.54 -0.04 (VIOLATED)
_111845_/B 1.50 1.54 -0.04 (VIOLATED)
_111273_/B 1.50 1.54 -0.04 (VIOLATED)
_111121_/B 1.50 1.54 -0.04 (VIOLATED)
_111544_/B 1.50 1.54 -0.04 (VIOLATED)
_111712_/B 1.50 1.54 -0.04 (VIOLATED)
_118386_/B 1.50 1.54 -0.04 (VIOLATED)
_111657_/B 1.50 1.54 -0.04 (VIOLATED)
_112135_/B 1.50 1.54 -0.04 (VIOLATED)
_078264_/B 1.50 1.53 -0.03 (VIOLATED)
_111012_/B 1.50 1.53 -0.03 (VIOLATED)
_110386_/B 1.50 1.53 -0.03 (VIOLATED)
_109870_/B 1.50 1.53 -0.03 (VIOLATED)
_112163_/B 1.50 1.53 -0.03 (VIOLATED)
_112272_/B 1.50 1.53 -0.03 (VIOLATED)
_110985_/B 1.50 1.53 -0.03 (VIOLATED)
_110289_/B 1.50 1.53 -0.03 (VIOLATED)
_080729_/Y 1.50 1.53 -0.03 (VIOLATED)
_111093_/B 1.50 1.53 -0.03 (VIOLATED)
_069829_/B 1.50 1.53 -0.03 (VIOLATED)
_116102_/B 1.50 1.53 -0.03 (VIOLATED)
_078362_/B 1.50 1.53 -0.03 (VIOLATED)
_077254_/B 1.50 1.52 -0.02 (VIOLATED)
_078319_/B 1.50 1.52 -0.02 (VIOLATED)
_110022_/B 1.50 1.52 -0.02 (VIOLATED)
_078292_/B 1.50 1.52 -0.02 (VIOLATED)
_076192_/B 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_65_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_opt_11_0_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_99_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_62_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_94_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_95_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_98_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_96_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_97_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_85_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_93_clk/A 1.50 1.52 -0.02 (VIOLATED)
clkbuf_leaf_92_clk/A 1.50 1.52 -0.02 (VIOLATED)
_073151_/B 1.50 1.52 -0.02 (VIOLATED)
_065499_/A 1.50 1.52 -0.02 (VIOLATED)
_070050_/A 1.50 1.52 -0.02 (VIOLATED)
_061898_/A 1.50 1.52 -0.02 (VIOLATED)
_110233_/B 1.50 1.52 -0.02 (VIOLATED)
clkbuf_7_6_0_clk/X 1.51 1.52 -0.01 (VIOLATED)
_065840_/B 1.50 1.51 -0.01 (VIOLATED)
_073774_/A 1.50 1.51 -0.01 (VIOLATED)
_076817_/B 1.50 1.51 -0.01 (VIOLATED)
_119229_/B 1.50 1.51 -0.01 (VIOLATED)
_061928_/A 1.50 1.51 -0.01 (VIOLATED)
_119308_/B 1.50 1.51 -0.01 (VIOLATED)
_072685_/A 1.50 1.51 -0.01 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.46 -0.08 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.46 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
_098691_/Y 0.14 0.15 -0.01 (VIOLATED)
clkbuf_7_6_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
_080729_/Y 0.43 0.43 -0.00 (VIOLATED)
clkbuf_7_51_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
==========================================================================
global route max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 161
==========================================================================
global route max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
global route max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 999
==========================================================================
global route report_tns
--------------------------------------------------------------------------
tns -143.96
==========================================================================
global route report_wns
--------------------------------------------------------------------------
wns -3.37
==========================================================================
global route report_worst_slack
--------------------------------------------------------------------------
worst slack -3.37
==========================================================================
global route report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
9.61
_144504_/CLK ^
7.92 0.00 1.70
==========================================================================
global route report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 1.01e-01 3.89e-03 2.04e-07 1.04e-01 56.6%
Combinational 1.77e-02 6.24e-02 2.28e-07 8.01e-02 43.4%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.18e-01 6.63e-02 4.32e-07 1.85e-01 100.0%
64.1% 35.9% 0.0%
==========================================================================
global route report_design_area
--------------------------------------------------------------------------
Design area 1432774 u^2 7% utilization.
==========================================================================
check_antennas
--------------------------------------------------------------------------
[INFO ANT-0001] Found 0 pin violations.
[INFO ANT-0002] Found 0 net violations in 83037 nets.
[INFO FLW-0007] clock clk period 10.000000
[INFO FLW-0008] Clock clk period 12.697
[INFO FLW-0009] Clock clk slack -3.365
[INFO FLW-0011] Path endpoint count 25469
Elapsed time: 0:46.88[h:]min:sec. CPU time: user 45.23 sys 0.82 (98%). Peak memory: 2629028KB.