You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

2353 lines
185 KiB
Plaintext

OpenROAD v2.0-1901-g6157d4945
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0223] Created 11 technology layers
[INFO ODB-0224] Created 25 technology vias
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd.tlef
[INFO ODB-0222] Reading LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[INFO ODB-0225] Created 437 library cells
[INFO ODB-0226] Finished LEF file: ./platforms/sky130hd/lef/sky130_fd_sc_hd_merged.lef
[WARNING ORD-0033] -order_wires is deprecated.
[INFO ODB-0127] Reading DEF file: ./results/sky130hd/a2p/base/6_1_fill.def
[INFO ODB-0128] Design: A2P_WB
[INFO ODB-0094] Created 100000 Insts
[INFO ODB-0094] Created 200000 Insts
[INFO ODB-0094] Created 300000 Insts
[INFO ODB-0094] Created 400000 Insts
[INFO ODB-0094] Created 500000 Insts
[INFO ODB-0094] Created 600000 Insts
[INFO ODB-0094] Created 700000 Insts
[INFO ODB-0094] Created 800000 Insts
[INFO ODB-0094] Created 900000 Insts
[INFO ODB-0094] Created 1000000 Insts
[INFO ODB-0094] Created 1100000 Insts
[INFO ODB-0094] Created 1200000 Insts
[INFO ODB-0094] Created 1300000 Insts
[INFO ODB-0094] Created 1400000 Insts
[INFO ODB-0094] Created 1500000 Insts
[INFO ODB-0094] Created 1600000 Insts
[INFO ODB-0094] Created 1700000 Insts
[INFO ODB-0094] Created 1800000 Insts
[INFO ODB-0094] Created 1900000 Insts
[INFO ODB-0094] Created 2000000 Insts
[INFO ODB-0094] Created 2100000 Insts
[INFO ODB-0094] Created 2200000 Insts
[INFO ODB-0094] Created 2300000 Insts
[INFO ODB-0094] Created 2400000 Insts
[INFO ODB-0094] Created 2500000 Insts
[INFO ODB-0094] Created 2600000 Insts
[INFO ODB-0130] Created 254 pins.
[INFO ODB-0131] Created 2678418 components and 5653814 component-terminals.
[INFO ODB-0132] Created 2 special nets and 5356836 connections.
[INFO ODB-0133] Created 83037 nets and 296650 connections.
[INFO ODB-0134] Finished DEF file: ./results/sky130hd/a2p/base/6_1_fill.def
[INFO] Deleted 0 routing obstructions
[INFO RCX-0431] Defined process_corner X with ext_model_index 0
[INFO RCX-0029] Defined extraction corner X
[INFO RCX-0008] extracting parasitics of A2P_WB ...
Notice 0: Split top of 33178 T shapes.
[INFO RCX-0435] Reading extraction model file ./platforms/sky130hd/rcx_patterns.rules ...
[INFO RCX-0436] RC segment generation A2P_WB (max_merge_res 50.0) ...
[INFO RCX-0040] Final 396150 rc segments
[INFO RCX-0439] Coupling Cap extraction A2P_WB ...
[INFO RCX-0440] Coupling threshhold is 0.1000 fF, coupling capacitance less than 0.1000 fF will be grounded.
[INFO RCX-0043] 684598 wires to be extracted
[INFO RCX-0442] 6% completion -- 47265 wires have been extracted
[INFO RCX-0442] 24% completion -- 168492 wires have been extracted
[INFO RCX-0442] 42% completion -- 294336 wires have been extracted
[INFO RCX-0442] 50% completion -- 345770 wires have been extracted
[INFO RCX-0442] 56% completion -- 390108 wires have been extracted
[INFO RCX-0442] 67% completion -- 459827 wires have been extracted
[INFO RCX-0442] 78% completion -- 538536 wires have been extracted
[INFO RCX-0442] 91% completion -- 623172 wires have been extracted
[INFO RCX-0442] 99% completion -- 680229 wires have been extracted
[INFO RCX-0045] Extract 83037 nets, 479075 rsegs, 479075 caps, 832280 ccs
[INFO RCX-0015] Finished extracting A2P_WB.
[INFO RCX-0016] Writing SPEF ...
[INFO RCX-0443] 83037 nets finished
[INFO RCX-0017] Finished writing SPEF ...
IR drop analysis for power nets is skipped because PWR_NETS_VOLTAGES is undefined
IR drop analysis for ground nets is skipped because GND_NETS_VOLTAGES is undefined
==========================================================================
finish report_checks -path_delay min
--------------------------------------------------------------------------
Startpoint: externalResetVector[19] (input port clocked by clk)
Endpoint: _145476_ (removal check against rising-edge clock clk)
Path Group: **async_default**
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 v input external delay
0.00 0.00 1.00 v externalResetVector[19] (in)
1 0.03 externalResetVector[19] (net)
0.01 0.00 1.00 v input10/A (sky130_fd_sc_hd__buf_16)
0.02 0.10 1.10 v input10/X (sky130_fd_sc_hd__buf_16)
1 0.00 net10 (net)
0.02 0.00 1.10 v hold205/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.61 1.71 v hold205/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3070 (net)
0.11 0.00 1.72 v hold206/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 2.36 v hold206/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3071 (net)
0.11 0.00 2.36 v hold207/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 3.01 v hold207/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3072 (net)
0.11 0.00 3.01 v hold208/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 3.66 v hold208/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3073 (net)
0.11 0.00 3.67 v hold209/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 4.31 v hold209/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3074 (net)
0.11 0.00 4.31 v hold210/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 4.96 v hold210/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3075 (net)
0.11 0.00 4.96 v hold211/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 5.61 v hold211/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3076 (net)
0.11 0.00 5.61 v hold212/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 6.25 v hold212/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3077 (net)
0.11 0.00 6.26 v hold213/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 6.90 v hold213/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3078 (net)
0.11 0.00 6.90 v hold214/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.11 0.65 7.55 v hold214/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.01 net3079 (net)
0.11 0.00 7.55 v hold215/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.13 0.66 8.21 v hold215/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3080 (net)
0.13 0.00 8.22 v hold216/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.68 8.90 v hold216/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3081 (net)
0.14 0.00 8.90 v hold217/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.14 0.69 9.59 v hold217/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net3082 (net)
0.14 0.00 9.60 v hold218/A (sky130_fd_sc_hd__clkbuf_4)
0.09 0.24 9.83 v hold218/X (sky130_fd_sc_hd__clkbuf_4)
2 0.04 net3069 (net)
0.09 0.00 9.84 v _122284_/B (sky130_fd_sc_hd__nand2_1)
0.06 0.09 9.93 ^ _122284_/Y (sky130_fd_sc_hd__nand2_1)
1 0.00 _000052_ (net)
0.06 0.00 9.93 ^ _145476_/SET_B (sky130_fd_sc_hd__dfbbp_1)
9.93 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.96 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.96 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.14 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.14 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 1.41 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.28 0.00 1.41 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.60 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.14 0.00 1.60 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.29 1.89 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_1_0_4_clk (net)
0.31 0.00 1.89 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 2.08 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.09 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.22 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.08 0.00 2.22 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.49 2.71 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.63 0.01 2.72 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.31 3.03 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.27 0.00 3.03 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 3.22 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.14 0.00 3.22 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 3.45 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 3.45 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.63 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.14 0.00 3.63 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.85 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.00 3.85 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.03 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.14 0.00 4.04 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.52 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.01 4.54 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.30 4.84 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.27 0.00 4.84 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.18 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.42 5.64 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.50 0.01 5.65 ^ clkbuf_4_6_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.28 5.93 ^ clkbuf_4_6_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_6_0_clk (net)
0.24 0.00 5.93 ^ clkbuf_4_6_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 6.11 ^ clkbuf_4_6_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_1_clk (net)
0.14 0.00 6.11 ^ clkbuf_4_6_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 6.25 ^ clkbuf_4_6_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_6_2_clk (net)
0.09 0.00 6.25 ^ clkbuf_4_6_3_clk/A (sky130_fd_sc_hd__buf_1)
0.62 0.49 6.74 ^ clkbuf_4_6_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_4_6_3_clk (net)
0.62 0.01 6.74 ^ clkbuf_5_13_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.24 6.99 ^ clkbuf_5_13_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_13_0_clk (net)
0.18 0.00 6.99 ^ clkbuf_5_13_1_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.25 7.24 ^ clkbuf_5_13_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_13_1_clk (net)
0.24 0.00 7.24 ^ clkbuf_6_27_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.17 7.41 ^ clkbuf_6_27_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_27_0_clk (net)
0.11 0.00 7.41 ^ clkbuf_6_27_1_clk/A (sky130_fd_sc_hd__buf_1)
0.45 0.38 7.79 ^ clkbuf_6_27_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_27_1_clk (net)
0.45 0.00 7.79 ^ clkbuf_7_54_0_clk/A (sky130_fd_sc_hd__buf_1)
1.15 0.90 8.69 ^ clkbuf_7_54_0_clk/X (sky130_fd_sc_hd__buf_1)
10 0.10 clknet_7_54_0_clk (net)
1.15 0.00 8.70 ^ clkbuf_leaf_235_clk/A (sky130_fd_sc_hd__buf_1)
1.04 0.85 9.55 ^ clkbuf_leaf_235_clk/X (sky130_fd_sc_hd__buf_1)
24 0.09 clknet_leaf_235_clk (net)
1.04 0.00 9.55 ^ _145476_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 9.55 clock reconvergence pessimism
0.30 9.85 library removal time
9.85 data required time
-----------------------------------------------------------------------------
9.85 data required time
-9.93 data arrival time
-----------------------------------------------------------------------------
0.08 slack (MET)
Startpoint: _144887_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: _145489_ (rising edge-triggered flip-flop clocked by clk)
Path Group: clk
Path Type: min
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.27 0.98 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.25 0.00 0.98 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.17 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 1.17 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.26 1.43 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.27 0.00 1.43 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 1.61 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 1.61 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 1.81 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 1.81 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 1.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 1.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 2.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.54 2.66 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 2.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.30 2.97 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.25 0.00 2.98 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 3.17 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 3.17 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 3.41 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 3.42 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 3.60 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.14 0.00 3.60 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 3.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 3.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 4.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.13 0.00 4.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.48 4.50 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.60 0.01 4.51 ^ clkbuf_3_4_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.28 4.79 ^ clkbuf_3_4_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_4_0_clk (net)
0.23 0.00 4.79 ^ clkbuf_3_4_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 4.96 ^ clkbuf_3_4_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_1_clk (net)
0.13 0.00 4.97 ^ clkbuf_3_4_2_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.17 5.14 ^ clkbuf_3_4_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_4_2_clk (net)
0.14 0.00 5.14 ^ clkbuf_3_4_3_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 5.40 ^ clkbuf_3_4_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_3_4_3_clk (net)
0.28 0.00 5.41 ^ clkbuf_4_8_0_clk/A (sky130_fd_sc_hd__buf_1)
0.16 0.21 5.61 ^ clkbuf_4_8_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_0_clk (net)
0.16 0.00 5.61 ^ clkbuf_4_8_1_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 5.76 ^ clkbuf_4_8_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_1_clk (net)
0.10 0.00 5.76 ^ clkbuf_4_8_2_clk/A (sky130_fd_sc_hd__buf_1)
0.07 0.12 5.87 ^ clkbuf_4_8_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_8_2_clk (net)
0.07 0.00 5.87 ^ clkbuf_4_8_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.40 6.28 ^ clkbuf_4_8_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_8_3_clk (net)
0.50 0.01 6.29 ^ clkbuf_5_16_0_clk/A (sky130_fd_sc_hd__buf_1)
0.17 0.23 6.52 ^ clkbuf_5_16_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_16_0_clk (net)
0.17 0.00 6.52 ^ clkbuf_5_16_1_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.28 6.80 ^ clkbuf_5_16_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_5_16_1_clk (net)
0.28 0.00 6.80 ^ clkbuf_6_33_0_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.17 6.97 ^ clkbuf_6_33_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_33_0_clk (net)
0.11 0.00 6.97 ^ clkbuf_6_33_1_clk/A (sky130_fd_sc_hd__buf_1)
0.36 0.32 7.29 ^ clkbuf_6_33_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_6_33_1_clk (net)
0.36 0.00 7.29 ^ clkbuf_7_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.45 0.42 7.71 ^ clkbuf_7_66_0_clk/X (sky130_fd_sc_hd__buf_1)
4 0.04 clknet_7_66_0_clk (net)
0.45 0.00 7.71 ^ clkbuf_leaf_928_clk/A (sky130_fd_sc_hd__buf_1)
0.74 0.62 8.33 ^ clkbuf_leaf_928_clk/X (sky130_fd_sc_hd__buf_1)
17 0.06 clknet_leaf_928_clk (net)
0.74 0.00 8.33 ^ _144887_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.07 0.53 8.86 v _144887_/Q (sky130_fd_sc_hd__dfxtp_4)
2 0.04 dataCache_1_.stageB_mmuRsp_isIoAccess (net)
0.07 0.00 8.86 v _122189_/A (sky130_fd_sc_hd__clkinv_16)
0.22 0.17 9.03 ^ _122189_/Y (sky130_fd_sc_hd__clkinv_16)
1 0.35 _023083_ (net)
0.26 0.08 9.11 ^ _145489_/D (sky130_fd_sc_hd__dfxtp_4)
9.11 data arrival time
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.96 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.96 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.14 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.14 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 1.41 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.28 0.00 1.41 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.60 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.14 0.00 1.60 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.29 1.89 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_1_0_4_clk (net)
0.31 0.00 1.89 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 2.08 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.09 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.22 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.08 0.00 2.22 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.49 2.71 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.63 0.01 2.72 ^ clkbuf_2_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.31 3.03 ^ clkbuf_2_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_0_clk (net)
0.27 0.00 3.03 ^ clkbuf_2_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 3.22 ^ clkbuf_2_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_1_clk (net)
0.14 0.00 3.22 ^ clkbuf_2_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.23 3.45 ^ clkbuf_2_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_2_clk (net)
0.22 0.00 3.45 ^ clkbuf_2_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.63 ^ clkbuf_2_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_3_clk (net)
0.14 0.00 3.63 ^ clkbuf_2_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 3.85 ^ clkbuf_2_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_1_4_clk (net)
0.21 0.00 3.85 ^ clkbuf_2_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 4.03 ^ clkbuf_2_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_1_5_clk (net)
0.14 0.00 4.04 ^ clkbuf_2_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.49 4.52 ^ clkbuf_2_1_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_1_6_clk (net)
0.60 0.01 4.54 ^ clkbuf_3_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.30 4.84 ^ clkbuf_3_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_3_0_clk (net)
0.27 0.00 4.84 ^ clkbuf_3_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 5.03 ^ clkbuf_3_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_1_clk (net)
0.13 0.00 5.03 ^ clkbuf_3_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 5.22 ^ clkbuf_3_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_3_2_clk (net)
0.18 0.00 5.22 ^ clkbuf_3_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.50 0.42 5.64 ^ clkbuf_3_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_3_3_clk (net)
0.50 0.01 5.65 ^ clkbuf_4_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.25 5.91 ^ clkbuf_4_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_7_0_clk (net)
0.21 0.00 5.91 ^ clkbuf_4_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.17 6.07 ^ clkbuf_4_7_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_1_clk (net)
0.12 0.00 6.07 ^ clkbuf_4_7_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.13 6.20 ^ clkbuf_4_7_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_7_2_clk (net)
0.09 0.00 6.20 ^ clkbuf_4_7_3_clk/A (sky130_fd_sc_hd__buf_1)
0.52 0.42 6.62 ^ clkbuf_4_7_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_7_3_clk (net)
0.52 0.01 6.63 ^ clkbuf_5_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 6.90 ^ clkbuf_5_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_14_0_clk (net)
0.23 0.00 6.90 ^ clkbuf_5_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.34 0.32 7.23 ^ clkbuf_5_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_14_1_clk (net)
0.34 0.00 7.23 ^ clkbuf_6_29_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 7.42 ^ clkbuf_6_29_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_29_0_clk (net)
0.13 0.00 7.42 ^ clkbuf_6_29_1_clk/A (sky130_fd_sc_hd__buf_1)
0.21 0.22 7.64 ^ clkbuf_6_29_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_6_29_1_clk (net)
0.21 0.00 7.64 ^ clkbuf_7_59_0_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.52 8.16 ^ clkbuf_7_59_0_clk/X (sky130_fd_sc_hd__buf_1)
5 0.05 clknet_7_59_0_clk (net)
0.63 0.00 8.16 ^ clkbuf_opt_66_0_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.19 8.34 ^ clkbuf_opt_66_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_0_clk (net)
0.10 0.00 8.34 ^ clkbuf_opt_66_1_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 8.54 ^ clkbuf_opt_66_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_1_clk (net)
0.18 0.00 8.54 ^ clkbuf_opt_66_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.15 8.68 ^ clkbuf_opt_66_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_2_clk (net)
0.09 0.00 8.68 ^ clkbuf_opt_66_3_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 8.87 ^ clkbuf_opt_66_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_3_clk (net)
0.18 0.00 8.88 ^ clkbuf_opt_66_4_clk/A (sky130_fd_sc_hd__buf_1)
0.10 0.15 9.02 ^ clkbuf_opt_66_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_4_clk (net)
0.10 0.00 9.02 ^ clkbuf_opt_66_5_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.19 9.21 ^ clkbuf_opt_66_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_opt_66_5_clk (net)
0.18 0.00 9.21 ^ clkbuf_opt_66_6_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.30 9.51 ^ clkbuf_opt_66_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_opt_66_6_clk (net)
0.31 0.00 9.51 ^ _145489_/CLK (sky130_fd_sc_hd__dfxtp_4)
0.00 9.51 clock reconvergence pessimism
-0.04 9.47 library hold time
9.47 data required time
-----------------------------------------------------------------------------
9.47 data required time
-9.11 data arrival time
-----------------------------------------------------------------------------
-0.37 slack (VIOLATED)
==========================================================================
finish report_checks -path_delay max
--------------------------------------------------------------------------
Startpoint: externalResetVector[25] (input port clocked by clk)
Endpoint: _145482_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[25] (in)
1 0.03 externalResetVector[25] (net)
0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16)
1 0.00 net17 (net)
0.02 0.00 1.08 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.68 1.76 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2884 (net)
0.25 0.00 1.76 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.68 2.44 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2885 (net)
0.17 0.00 2.44 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.09 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2886 (net)
0.16 0.00 3.10 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.75 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2887 (net)
0.16 0.00 3.75 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 4.42 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2888 (net)
0.17 0.00 4.42 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.08 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2889 (net)
0.17 0.00 5.08 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.74 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2890 (net)
0.17 0.00 5.74 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 6.40 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2891 (net)
0.16 0.00 6.40 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 7.06 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2892 (net)
0.17 0.00 7.06 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.70 7.76 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2893 (net)
0.22 0.00 7.77 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.72 8.49 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2894 (net)
0.23 0.00 8.49 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.74 9.22 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2895 (net)
0.25 0.00 9.23 ^ hold31/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.30 0.77 10.00 ^ hold31/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net2896 (net)
0.30 0.00 10.00 ^ hold32/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.73 10.74 ^ hold32/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2897 (net)
0.23 0.00 10.74 ^ hold33/A (sky130_fd_sc_hd__clkbuf_4)
0.18 0.30 11.03 ^ hold33/X (sky130_fd_sc_hd__clkbuf_4)
2 0.06 net2883 (net)
0.18 0.00 11.04 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1)
0.15 0.13 11.16 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000063_ (net)
0.15 0.00 11.16 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.16 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 10.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 10.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 10.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 10.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 10.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.27 10.98 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.25 0.00 10.98 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 11.17 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 11.17 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.26 11.43 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.27 0.00 11.43 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 11.61 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 11.61 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 11.81 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 11.81 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 11.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 12.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 12.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.54 12.66 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 12.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.30 12.97 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.25 0.00 12.98 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 13.17 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 13.17 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 13.41 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 13.42 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 13.60 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.14 0.00 13.60 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 13.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 13.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 14.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.13 0.00 14.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.48 14.50 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.60 0.01 14.51 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 14.79 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.23 0.00 14.79 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 14.97 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 14.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 15.18 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 15.18 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 15.61 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.01 15.62 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.29 15.91 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.26 0.00 15.92 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 16.10 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 16.10 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.15 16.25 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.11 0.00 16.25 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 16.67 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.51 0.01 16.67 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.25 16.92 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_23_0_clk (net)
0.20 0.00 16.92 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.42 17.34 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.48 0.00 17.34 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 17.54 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 17.54 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.37 17.91 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.43 0.00 17.91 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.99 0.79 18.70 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.09 clknet_7_94_0_clk (net)
0.99 0.01 18.71 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.97 19.68 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 19.68 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 19.68 clock reconvergence pessimism
0.08 19.76 library recovery time
19.76 data required time
-----------------------------------------------------------------------------
19.76 data required time
-11.16 data arrival time
-----------------------------------------------------------------------------
8.60 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_CTI[0] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.96 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.96 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.14 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.14 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 1.41 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.28 0.00 1.41 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.60 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.14 0.00 1.60 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.29 1.89 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_1_0_4_clk (net)
0.31 0.00 1.89 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 2.08 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.09 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.22 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.08 0.00 2.22 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.49 2.71 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.63 0.01 2.72 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.28 3.00 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.24 0.00 3.01 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.20 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.15 0.00 3.20 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 3.44 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.23 0.00 3.44 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.62 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.14 0.00 3.62 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.84 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.20 0.00 3.84 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.16 3.99 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.11 0.00 4.00 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.58 0.47 4.46 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.58 0.00 4.47 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.30 0.33 4.79 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_3_1_0_clk (net)
0.30 0.00 4.79 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 4.99 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.14 0.00 4.99 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 5.23 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_2_clk (net)
0.24 0.00 5.23 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.47 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_1_3_clk (net)
0.56 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 5.98 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.23 0.00 5.98 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 6.16 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 6.16 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 6.30 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.09 0.00 6.30 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.34 6.64 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_4_3_3_clk (net)
0.41 0.00 6.65 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 6.87 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.18 0.00 6.87 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.38 0.35 7.22 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_7_1_clk (net)
0.38 0.00 7.22 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 7.40 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.12 0.00 7.40 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.44 0.37 7.78 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.44 0.00 7.78 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.32 1.02 8.80 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.32 0.01 8.81 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.14 0.92 9.73 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.10 clknet_leaf_174_clk (net)
1.14 0.00 9.73 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.67 1.05 10.78 ^ _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.24 net78 (net)
0.67 0.00 10.79 ^ _145580_/B (sky130_fd_sc_hd__ha_1)
0.12 0.32 11.10 ^ _145580_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061101_ (net)
0.12 0.00 11.10 ^ _145581_/B (sky130_fd_sc_hd__ha_4)
0.75 0.63 11.73 ^ _145581_/COUT (sky130_fd_sc_hd__ha_4)
2 0.26 net98 (net)
0.76 0.06 11.80 ^ _122379_/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.15 11.95 ^ _122379_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 net97 (net)
0.05 0.00 11.95 ^ output97/A (sky130_fd_sc_hd__buf_2)
0.13 0.17 12.12 ^ output97/X (sky130_fd_sc_hd__buf_2)
1 0.03 iBusWB_CTI[0] (net)
0.13 0.00 12.12 ^ iBusWB_CTI[0] (out)
12.12 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.12 data arrival time
-----------------------------------------------------------------------------
-3.12 slack (VIOLATED)
==========================================================================
finish report_checks -unconstrained
--------------------------------------------------------------------------
Startpoint: externalResetVector[25] (input port clocked by clk)
Endpoint: _145482_ (recovery check against rising-edge clock clk)
Path Group: **async_default**
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock network delay (propagated)
1.00 1.00 ^ input external delay
0.00 0.00 1.00 ^ externalResetVector[25] (in)
1 0.03 externalResetVector[25] (net)
0.01 0.00 1.00 ^ input17/A (sky130_fd_sc_hd__buf_16)
0.02 0.07 1.08 ^ input17/X (sky130_fd_sc_hd__buf_16)
1 0.00 net17 (net)
0.02 0.00 1.08 ^ hold19/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.68 1.76 ^ hold19/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2884 (net)
0.25 0.00 1.76 ^ hold20/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.68 2.44 ^ hold20/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2885 (net)
0.17 0.00 2.44 ^ hold21/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.09 ^ hold21/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2886 (net)
0.16 0.00 3.10 ^ hold22/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 3.75 ^ hold22/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2887 (net)
0.16 0.00 3.75 ^ hold23/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 4.42 ^ hold23/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2888 (net)
0.17 0.00 4.42 ^ hold24/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.08 ^ hold24/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2889 (net)
0.17 0.00 5.08 ^ hold25/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 5.74 ^ hold25/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2890 (net)
0.17 0.00 5.74 ^ hold26/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.16 0.66 6.40 ^ hold26/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2891 (net)
0.16 0.00 6.40 ^ hold27/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.17 0.66 7.06 ^ hold27/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2892 (net)
0.17 0.00 7.06 ^ hold28/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.22 0.70 7.76 ^ hold28/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2893 (net)
0.22 0.00 7.77 ^ hold29/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.72 8.49 ^ hold29/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2894 (net)
0.23 0.00 8.49 ^ hold30/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.25 0.74 9.22 ^ hold30/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2895 (net)
0.25 0.00 9.23 ^ hold31/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.30 0.77 10.00 ^ hold31/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.03 net2896 (net)
0.30 0.00 10.00 ^ hold32/A (sky130_fd_sc_hd__dlygate4sd3_1)
0.23 0.73 10.74 ^ hold32/X (sky130_fd_sc_hd__dlygate4sd3_1)
1 0.02 net2897 (net)
0.23 0.00 10.74 ^ hold33/A (sky130_fd_sc_hd__clkbuf_4)
0.18 0.30 11.03 ^ hold33/X (sky130_fd_sc_hd__clkbuf_4)
2 0.06 net2883 (net)
0.18 0.00 11.04 ^ _122256_/A_N (sky130_fd_sc_hd__nand2b_1)
0.15 0.13 11.16 ^ _122256_/Y (sky130_fd_sc_hd__nand2b_1)
1 0.00 _000063_ (net)
0.15 0.00 11.16 ^ _145482_/RESET_B (sky130_fd_sc_hd__dfbbp_1)
11.16 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock source latency
0.00 0.00 10.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 10.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 10.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 10.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 10.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 10.71 ^ clkbuf_1_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.27 10.98 ^ clkbuf_1_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_0_clk (net)
0.25 0.00 10.98 ^ clkbuf_1_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 11.17 ^ clkbuf_1_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_1_clk (net)
0.14 0.00 11.17 ^ clkbuf_1_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.27 0.26 11.43 ^ clkbuf_1_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_2_clk (net)
0.27 0.00 11.43 ^ clkbuf_1_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 11.61 ^ clkbuf_1_1_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_3_clk (net)
0.12 0.00 11.61 ^ clkbuf_1_1_4_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 11.81 ^ clkbuf_1_1_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_1_4_clk (net)
0.18 0.00 11.81 ^ clkbuf_1_1_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.17 11.99 ^ clkbuf_1_1_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_5_clk (net)
0.13 0.00 11.99 ^ clkbuf_1_1_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 12.12 ^ clkbuf_1_1_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_1_6_clk (net)
0.08 0.00 12.12 ^ clkbuf_1_1_7_clk/A (sky130_fd_sc_hd__buf_1)
0.71 0.54 12.66 ^ clkbuf_1_1_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.06 clknet_1_1_7_clk (net)
0.71 0.02 12.68 ^ clkbuf_2_2_0_clk/A (sky130_fd_sc_hd__buf_1)
0.25 0.30 12.97 ^ clkbuf_2_2_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_0_clk (net)
0.25 0.00 12.98 ^ clkbuf_2_2_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.20 13.17 ^ clkbuf_2_2_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_1_clk (net)
0.15 0.00 13.17 ^ clkbuf_2_2_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 13.41 ^ clkbuf_2_2_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_2_clk (net)
0.24 0.00 13.42 ^ clkbuf_2_2_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 13.60 ^ clkbuf_2_2_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_3_clk (net)
0.14 0.00 13.60 ^ clkbuf_2_2_4_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 13.84 ^ clkbuf_2_2_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_2_4_clk (net)
0.23 0.00 13.84 ^ clkbuf_2_2_5_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 14.02 ^ clkbuf_2_2_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_2_5_clk (net)
0.13 0.00 14.02 ^ clkbuf_2_2_6_clk/A (sky130_fd_sc_hd__buf_1)
0.60 0.48 14.50 ^ clkbuf_2_2_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_2_6_clk (net)
0.60 0.01 14.51 ^ clkbuf_3_5_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 14.79 ^ clkbuf_3_5_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_5_0_clk (net)
0.23 0.00 14.79 ^ clkbuf_3_5_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 14.97 ^ clkbuf_3_5_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_1_clk (net)
0.14 0.00 14.97 ^ clkbuf_3_5_2_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.20 15.18 ^ clkbuf_3_5_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_5_2_clk (net)
0.18 0.00 15.18 ^ clkbuf_3_5_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.43 15.61 ^ clkbuf_3_5_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_3_5_3_clk (net)
0.51 0.01 15.62 ^ clkbuf_4_11_0_clk/A (sky130_fd_sc_hd__buf_1)
0.26 0.29 15.91 ^ clkbuf_4_11_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_11_0_clk (net)
0.26 0.00 15.92 ^ clkbuf_4_11_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.19 16.10 ^ clkbuf_4_11_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_1_clk (net)
0.13 0.00 16.10 ^ clkbuf_4_11_2_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.15 16.25 ^ clkbuf_4_11_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_11_2_clk (net)
0.11 0.00 16.25 ^ clkbuf_4_11_3_clk/A (sky130_fd_sc_hd__buf_1)
0.51 0.42 16.67 ^ clkbuf_4_11_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_4_11_3_clk (net)
0.51 0.01 16.67 ^ clkbuf_5_23_0_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.25 16.92 ^ clkbuf_5_23_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_5_23_0_clk (net)
0.20 0.00 16.92 ^ clkbuf_5_23_1_clk/A (sky130_fd_sc_hd__buf_1)
0.48 0.42 17.34 ^ clkbuf_5_23_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_5_23_1_clk (net)
0.48 0.00 17.34 ^ clkbuf_6_47_0_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.20 17.54 ^ clkbuf_6_47_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_47_0_clk (net)
0.13 0.00 17.54 ^ clkbuf_6_47_1_clk/A (sky130_fd_sc_hd__buf_1)
0.43 0.37 17.91 ^ clkbuf_6_47_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_47_1_clk (net)
0.43 0.00 17.91 ^ clkbuf_7_94_0_clk/A (sky130_fd_sc_hd__buf_1)
0.99 0.79 18.70 ^ clkbuf_7_94_0_clk/X (sky130_fd_sc_hd__buf_1)
8 0.09 clknet_7_94_0_clk (net)
0.99 0.01 18.71 ^ clkbuf_leaf_813_clk/A (sky130_fd_sc_hd__buf_1)
1.23 0.97 19.68 ^ clkbuf_leaf_813_clk/X (sky130_fd_sc_hd__buf_1)
30 0.11 clknet_leaf_813_clk (net)
1.23 0.00 19.68 ^ _145482_/CLK (sky130_fd_sc_hd__dfbbp_1)
0.00 19.68 clock reconvergence pessimism
0.08 19.76 library recovery time
19.76 data required time
-----------------------------------------------------------------------------
19.76 data required time
-11.16 data arrival time
-----------------------------------------------------------------------------
8.60 slack (MET)
Startpoint: _145508_ (rising edge-triggered flip-flop clocked by clk)
Endpoint: iBusWB_CTI[0] (output port clocked by clk)
Path Group: clk
Path Type: max
Fanout Cap Slew Delay Time Description
-----------------------------------------------------------------------------
0.00 0.00 clock clk (rise edge)
0.00 0.00 clock source latency
0.00 0.00 0.00 ^ clk (in)
1 0.14 clk (net)
0.16 0.08 0.08 ^ repeater1/A (sky130_fd_sc_hd__buf_16)
0.28 0.27 0.35 ^ repeater1/X (sky130_fd_sc_hd__buf_16)
1 0.27 net2865 (net)
0.31 0.07 0.42 ^ clkbuf_0_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.29 0.71 ^ clkbuf_0_clk/X (sky130_fd_sc_hd__buf_1)
2 0.02 clknet_0_clk (net)
0.28 0.00 0.71 ^ clkbuf_1_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.22 0.25 0.96 ^ clkbuf_1_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_0_clk (net)
0.22 0.00 0.96 ^ clkbuf_1_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 1.14 ^ clkbuf_1_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_1_clk (net)
0.14 0.00 1.14 ^ clkbuf_1_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.28 0.27 1.41 ^ clkbuf_1_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_1_0_2_clk (net)
0.28 0.00 1.41 ^ clkbuf_1_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 1.60 ^ clkbuf_1_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_3_clk (net)
0.14 0.00 1.60 ^ clkbuf_1_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.31 0.29 1.89 ^ clkbuf_1_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_1_0_4_clk (net)
0.31 0.00 1.89 ^ clkbuf_1_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.20 2.08 ^ clkbuf_1_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_5_clk (net)
0.14 0.00 2.09 ^ clkbuf_1_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.08 0.13 2.22 ^ clkbuf_1_0_6_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_1_0_6_clk (net)
0.08 0.00 2.22 ^ clkbuf_1_0_7_clk/A (sky130_fd_sc_hd__buf_1)
0.63 0.49 2.71 ^ clkbuf_1_0_7_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_1_0_7_clk (net)
0.63 0.01 2.72 ^ clkbuf_2_0_0_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.28 3.00 ^ clkbuf_2_0_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_0_clk (net)
0.24 0.00 3.01 ^ clkbuf_2_0_1_clk/A (sky130_fd_sc_hd__buf_1)
0.15 0.19 3.20 ^ clkbuf_2_0_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_1_clk (net)
0.15 0.00 3.20 ^ clkbuf_2_0_2_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.24 3.44 ^ clkbuf_2_0_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_2_clk (net)
0.23 0.00 3.44 ^ clkbuf_2_0_3_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.18 3.62 ^ clkbuf_2_0_3_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_3_clk (net)
0.14 0.00 3.62 ^ clkbuf_2_0_4_clk/A (sky130_fd_sc_hd__buf_1)
0.20 0.21 3.84 ^ clkbuf_2_0_4_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_2_0_4_clk (net)
0.20 0.00 3.84 ^ clkbuf_2_0_5_clk/A (sky130_fd_sc_hd__buf_1)
0.11 0.16 3.99 ^ clkbuf_2_0_5_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_2_0_5_clk (net)
0.11 0.00 4.00 ^ clkbuf_2_0_6_clk/A (sky130_fd_sc_hd__buf_1)
0.58 0.47 4.46 ^ clkbuf_2_0_6_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_2_0_6_clk (net)
0.58 0.00 4.47 ^ clkbuf_3_1_0_clk/A (sky130_fd_sc_hd__buf_1)
0.30 0.33 4.79 ^ clkbuf_3_1_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.03 clknet_3_1_0_clk (net)
0.30 0.00 4.79 ^ clkbuf_3_1_1_clk/A (sky130_fd_sc_hd__buf_1)
0.14 0.19 4.99 ^ clkbuf_3_1_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_3_1_1_clk (net)
0.14 0.00 4.99 ^ clkbuf_3_1_2_clk/A (sky130_fd_sc_hd__buf_1)
0.24 0.24 5.23 ^ clkbuf_3_1_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_3_1_2_clk (net)
0.24 0.00 5.23 ^ clkbuf_3_1_3_clk/A (sky130_fd_sc_hd__buf_1)
0.56 0.47 5.70 ^ clkbuf_3_1_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.05 clknet_3_1_3_clk (net)
0.56 0.01 5.71 ^ clkbuf_4_3_0_clk/A (sky130_fd_sc_hd__buf_1)
0.23 0.27 5.98 ^ clkbuf_4_3_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.02 clknet_4_3_0_clk (net)
0.23 0.00 5.98 ^ clkbuf_4_3_1_clk/A (sky130_fd_sc_hd__buf_1)
0.13 0.18 6.16 ^ clkbuf_4_3_1_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_1_clk (net)
0.13 0.00 6.16 ^ clkbuf_4_3_2_clk/A (sky130_fd_sc_hd__buf_1)
0.09 0.14 6.30 ^ clkbuf_4_3_2_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_4_3_2_clk (net)
0.09 0.00 6.30 ^ clkbuf_4_3_3_clk/A (sky130_fd_sc_hd__buf_1)
0.41 0.34 6.64 ^ clkbuf_4_3_3_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_4_3_3_clk (net)
0.41 0.00 6.65 ^ clkbuf_5_7_0_clk/A (sky130_fd_sc_hd__buf_1)
0.18 0.23 6.87 ^ clkbuf_5_7_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_5_7_0_clk (net)
0.18 0.00 6.87 ^ clkbuf_5_7_1_clk/A (sky130_fd_sc_hd__buf_1)
0.38 0.35 7.22 ^ clkbuf_5_7_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.03 clknet_5_7_1_clk (net)
0.38 0.00 7.22 ^ clkbuf_6_14_0_clk/A (sky130_fd_sc_hd__buf_1)
0.12 0.18 7.40 ^ clkbuf_6_14_0_clk/X (sky130_fd_sc_hd__buf_1)
1 0.01 clknet_6_14_0_clk (net)
0.12 0.00 7.40 ^ clkbuf_6_14_1_clk/A (sky130_fd_sc_hd__buf_1)
0.44 0.37 7.78 ^ clkbuf_6_14_1_clk/X (sky130_fd_sc_hd__buf_1)
2 0.04 clknet_6_14_1_clk (net)
0.44 0.00 7.78 ^ clkbuf_7_28_0_clk/A (sky130_fd_sc_hd__buf_1)
1.32 1.02 8.80 ^ clkbuf_7_28_0_clk/X (sky130_fd_sc_hd__buf_1)
12 0.12 clknet_7_28_0_clk (net)
1.32 0.01 8.81 ^ clkbuf_leaf_174_clk/A (sky130_fd_sc_hd__buf_1)
1.14 0.92 9.73 ^ clkbuf_leaf_174_clk/X (sky130_fd_sc_hd__buf_1)
28 0.10 clknet_leaf_174_clk (net)
1.14 0.00 9.73 ^ _145508_/CLK (sky130_fd_sc_hd__dfrtp_4)
0.67 1.05 10.78 ^ _145508_/Q (sky130_fd_sc_hd__dfrtp_4)
3 0.24 net78 (net)
0.67 0.00 10.79 ^ _145580_/B (sky130_fd_sc_hd__ha_1)
0.12 0.32 11.10 ^ _145580_/COUT (sky130_fd_sc_hd__ha_1)
1 0.01 _061101_ (net)
0.12 0.00 11.10 ^ _145581_/B (sky130_fd_sc_hd__ha_4)
0.75 0.63 11.73 ^ _145581_/COUT (sky130_fd_sc_hd__ha_4)
2 0.26 net98 (net)
0.76 0.06 11.80 ^ _122379_/A (sky130_fd_sc_hd__clkbuf_1)
0.05 0.15 11.95 ^ _122379_/X (sky130_fd_sc_hd__clkbuf_1)
1 0.00 net97 (net)
0.05 0.00 11.95 ^ output97/A (sky130_fd_sc_hd__buf_2)
0.13 0.17 12.12 ^ output97/X (sky130_fd_sc_hd__buf_2)
1 0.03 iBusWB_CTI[0] (net)
0.13 0.00 12.12 ^ iBusWB_CTI[0] (out)
12.12 data arrival time
10.00 10.00 clock clk (rise edge)
0.00 10.00 clock network delay (propagated)
0.00 10.00 clock reconvergence pessimism
-1.00 9.00 output external delay
9.00 data required time
-----------------------------------------------------------------------------
9.00 data required time
-12.12 data arrival time
-----------------------------------------------------------------------------
-3.12 slack (VIOLATED)
==========================================================================
finish report_check_types -max_slew -max_cap -max_fanout -violators
--------------------------------------------------------------------------
max slew
Pin Limit Slew Slack
------------------------------------------------------------
_094945_/Y 1.49 1.79 -0.30 (VIOLATED)
_095270_/B1 1.50 1.79 -0.29 (VIOLATED)
_098691_/Y 1.50 1.78 -0.28 (VIOLATED)
_099021_/B1 1.50 1.78 -0.28 (VIOLATED)
_083721_/A 1.50 1.73 -0.23 (VIOLATED)
_081683_/A 1.50 1.72 -0.22 (VIOLATED)
_099700_/A 1.50 1.71 -0.21 (VIOLATED)
_082228_/A 1.50 1.71 -0.21 (VIOLATED)
_084887_/C1 1.50 1.70 -0.20 (VIOLATED)
_084888_/A1 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_89_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_opt_17_0_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_opt_16_0_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_opt_18_0_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_90_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_91_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_107_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_108_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_370_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_110_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_109_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_368_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_112_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_369_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_106_clk/A 1.50 1.70 -0.20 (VIOLATED)
clkbuf_leaf_111_clk/A 1.50 1.70 -0.20 (VIOLATED)
_082253_/A 1.50 1.70 -0.20 (VIOLATED)
_066290_/A 1.50 1.69 -0.19 (VIOLATED)
clkbuf_7_12_0_clk/X 1.51 1.70 -0.19 (VIOLATED)
_069108_/A 1.50 1.69 -0.19 (VIOLATED)
_090030_/A 1.50 1.68 -0.18 (VIOLATED)
_100286_/C1 1.50 1.68 -0.18 (VIOLATED)
_100285_/Y 1.50 1.68 -0.18 (VIOLATED)
_094034_/B1 1.50 1.67 -0.17 (VIOLATED)
_094033_/Y 1.50 1.67 -0.17 (VIOLATED)
_061742_/B 1.50 1.67 -0.17 (VIOLATED)
_099701_/A1 1.50 1.67 -0.17 (VIOLATED)
_070050_/A 1.50 1.65 -0.15 (VIOLATED)
_065499_/A 1.50 1.65 -0.15 (VIOLATED)
_061898_/A 1.50 1.65 -0.15 (VIOLATED)
_065840_/B 1.50 1.65 -0.15 (VIOLATED)
_073774_/A 1.50 1.64 -0.14 (VIOLATED)
_061928_/A 1.50 1.64 -0.14 (VIOLATED)
_072685_/A 1.50 1.64 -0.14 (VIOLATED)
_065076_/A 1.50 1.64 -0.14 (VIOLATED)
_061740_/X 1.51 1.64 -0.13 (VIOLATED)
_088698_/Y 1.50 1.62 -0.12 (VIOLATED)
_088776_/C1 1.50 1.62 -0.12 (VIOLATED)
_081968_/A 1.50 1.62 -0.12 (VIOLATED)
_101103_/A 1.50 1.61 -0.11 (VIOLATED)
_082273_/A 1.50 1.61 -0.11 (VIOLATED)
_084691_/A 1.50 1.61 -0.11 (VIOLATED)
_082034_/A 1.50 1.61 -0.11 (VIOLATED)
_083717_/A 1.50 1.61 -0.11 (VIOLATED)
_101104_/A1 1.50 1.61 -0.11 (VIOLATED)
_082148_/A 1.50 1.61 -0.11 (VIOLATED)
_081774_/A 1.50 1.60 -0.10 (VIOLATED)
_083935_/A 1.50 1.59 -0.09 (VIOLATED)
_089822_/A 1.50 1.59 -0.09 (VIOLATED)
_086087_/A 1.50 1.59 -0.09 (VIOLATED)
_080731_/A 1.50 1.59 -0.09 (VIOLATED)
_083288_/A 1.50 1.59 -0.09 (VIOLATED)
_080729_/Y 1.50 1.59 -0.09 (VIOLATED)
_101819_/Y 1.50 1.56 -0.07 (VIOLATED)
_082872_/C1 1.50 1.57 -0.07 (VIOLATED)
_101937_/B 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_120_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_119_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_125_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_opt_20_0_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_opt_19_0_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_116_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_367_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_117_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_363_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_118_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_105_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_115_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_113_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_leaf_114_clk/A 1.50 1.56 -0.06 (VIOLATED)
clkbuf_7_13_0_clk/X 1.51 1.56 -0.05 (VIOLATED)
_119647_/A2 1.50 1.55 -0.05 (VIOLATED)
_083495_/A 1.50 1.54 -0.04 (VIOLATED)
_122111_/A1 1.50 1.54 -0.04 (VIOLATED)
_081604_/A 1.50 1.54 -0.04 (VIOLATED)
_080321_/A 1.50 1.54 -0.04 (VIOLATED)
_116075_/B 1.50 1.54 -0.04 (VIOLATED)
_115613_/B 1.50 1.54 -0.04 (VIOLATED)
_115147_/B 1.50 1.54 -0.04 (VIOLATED)
_117857_/B 1.50 1.54 -0.04 (VIOLATED)
_115298_/B 1.50 1.54 -0.04 (VIOLATED)
_111955_/B 1.50 1.54 -0.04 (VIOLATED)
_116047_/B 1.50 1.53 -0.03 (VIOLATED)
_080313_/X 1.51 1.54 -0.03 (VIOLATED)
_117938_/B 1.50 1.53 -0.03 (VIOLATED)
_115379_/B 1.50 1.53 -0.03 (VIOLATED)
_115511_/B 1.50 1.53 -0.03 (VIOLATED)
_115709_/B 1.50 1.53 -0.03 (VIOLATED)
_116312_/B 1.50 1.53 -0.03 (VIOLATED)
_117749_/B 1.50 1.53 -0.03 (VIOLATED)
clkbuf_opt_34_0_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_138_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_142_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_141_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_144_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_143_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_147_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_146_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_148_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_132_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_134_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_133_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_leaf_137_clk/A 1.50 1.53 -0.03 (VIOLATED)
clkbuf_7_24_0_clk/X 1.51 1.53 -0.02 (VIOLATED)
_065048_/A 1.50 1.52 -0.02 (VIOLATED)
_110547_/A 1.50 1.52 -0.02 (VIOLATED)
_064099_/B 1.50 1.52 -0.02 (VIOLATED)
_115147_/A 1.50 1.52 -0.02 (VIOLATED)
_069829_/A 1.50 1.52 -0.02 (VIOLATED)
_112326_/A 1.50 1.52 -0.02 (VIOLATED)
_118154_/B 1.50 1.52 -0.02 (VIOLATED)
_111121_/B 1.50 1.51 -0.01 (VIOLATED)
_111845_/B 1.50 1.51 -0.01 (VIOLATED)
_111273_/B 1.50 1.51 -0.01 (VIOLATED)
_097459_/Y 1.49 1.50 -0.01 (VIOLATED)
_111657_/B 1.50 1.51 -0.01 (VIOLATED)
_114580_/B 1.50 1.51 -0.01 (VIOLATED)
_111488_/B 1.50 1.51 -0.01 (VIOLATED)
_111712_/B 1.50 1.51 -0.01 (VIOLATED)
_111544_/B 1.50 1.51 -0.01 (VIOLATED)
_064098_/Y 1.50 1.51 -0.01 (VIOLATED)
_090380_/A2 1.50 1.51 -0.01 (VIOLATED)
_112082_/B 1.50 1.51 -0.01 (VIOLATED)
_112135_/B 1.50 1.51 -0.01 (VIOLATED)
_110289_/B 1.50 1.51 -0.01 (VIOLATED)
_112272_/B 1.50 1.51 -0.01 (VIOLATED)
_112163_/B 1.50 1.51 -0.01 (VIOLATED)
_111012_/B 1.50 1.51 -0.01 (VIOLATED)
_069829_/B 1.50 1.51 -0.01 (VIOLATED)
_109870_/B 1.50 1.51 -0.01 (VIOLATED)
_110386_/B 1.50 1.51 -0.01 (VIOLATED)
_111093_/B 1.50 1.51 -0.01 (VIOLATED)
_090058_/Y 1.50 1.51 -0.01 (VIOLATED)
_110985_/B 1.50 1.51 -0.01 (VIOLATED)
_106804_/Y 1.50 1.50 -0.00 (VIOLATED)
_097761_/B1 1.50 1.50 -0.00 (VIOLATED)
_110022_/B 1.50 1.50 -0.00 (VIOLATED)
_107198_/A1 1.50 1.50 -0.00 (VIOLATED)
_118386_/B 1.50 1.50 -0.00 (VIOLATED)
max capacitance
Pin Limit Cap Slack
------------------------------------------------------------
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[3].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[21].OBUF1/Z 0.38 0.45 -0.07 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[25].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[4].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[28].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[30].OBUF1/Z 0.38 0.44 -0.06 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[2].OBUF1/Z 0.38 0.44 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[31].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[11].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[24].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[9].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[7].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[19].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[29].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[22].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[13].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[26].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[8].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[27].OBUF1/Z 0.38 0.43 -0.05 (VIOLATED)
_061740_/X 0.54 0.58 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[1].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[14].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[20].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[23].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[17].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[12].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[16].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[18].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[10].OBUF1/Z 0.38 0.42 -0.04 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[6].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
_098691_/Y 0.14 0.17 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[0].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[5].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[10].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[11].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[12].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[13].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[14].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[15].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[16].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[17].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[18].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[19].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[1].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[20].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[21].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[22].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[23].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[24].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[25].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[26].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[27].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[28].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[29].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[2].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[30].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[31].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[3].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[4].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[5].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[6].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[7].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[8].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
RegFilePlugin_regFile.regFile01.REGF[9].RFW.BIT[15].OBUF1/Z 0.38 0.41 -0.03 (VIOLATED)
_094945_/Y 0.11 0.14 -0.03 (VIOLATED)
_080729_/Y 0.43 0.46 -0.02 (VIOLATED)
clkbuf_7_12_0_clk/X 0.13 0.15 -0.02 (VIOLATED)
_088698_/Y 0.14 0.16 -0.02 (VIOLATED)
_100285_/Y 0.11 0.12 -0.02 (VIOLATED)
_094033_/Y 0.11 0.12 -0.02 (VIOLATED)
_080313_/X 0.51 0.53 -0.01 (VIOLATED)
_101819_/Y 0.15 0.16 -0.01 (VIOLATED)
clkbuf_7_13_0_clk/X 0.13 0.14 -0.01 (VIOLATED)
_064098_/Y 0.43 0.44 -0.01 (VIOLATED)
_090058_/Y 0.14 0.15 -0.00 (VIOLATED)
_097459_/Y 0.11 0.12 -0.00 (VIOLATED)
clkbuf_7_24_0_clk/X 0.13 0.13 -0.00 (VIOLATED)
_106804_/Y 0.15 0.16 -0.00 (VIOLATED)
_107077_/Y 0.11 0.12 -0.00 (VIOLATED)
==========================================================================
finish max_slew_violation_count
--------------------------------------------------------------------------
max slew violation count 149
==========================================================================
finish max_fanout_violation_count
--------------------------------------------------------------------------
max fanout violation count 0
==========================================================================
finish max_cap_violation_count
--------------------------------------------------------------------------
max cap violation count 1009
==========================================================================
finish report_tns
--------------------------------------------------------------------------
tns -119.00
==========================================================================
finish report_wns
--------------------------------------------------------------------------
wns -3.12
==========================================================================
finish report_worst_slack
--------------------------------------------------------------------------
worst slack -3.12
==========================================================================
finish report_clock_skew
--------------------------------------------------------------------------
Clock clk
Latency CRPR Skew
_144168_/CLK ^
9.69
_143176_/CLK ^
8.04 0.00 1.64
==========================================================================
finish report_power
--------------------------------------------------------------------------
Group Internal Switching Leakage Total
Power Power Power Power
----------------------------------------------------------------
Sequential 1.00e-01 3.39e-03 2.04e-07 1.04e-01 57.4%
Combinational 1.77e-02 5.92e-02 2.28e-07 7.68e-02 42.6%
Macro 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
Pad 0.00e+00 0.00e+00 0.00e+00 0.00e+00 0.0%
----------------------------------------------------------------
Total 1.18e-01 6.26e-02 4.32e-07 1.80e-01 100.0%
65.3% 34.7% 0.0%
==========================================================================
finish report_design_area
--------------------------------------------------------------------------
Design area 1432774 u^2 7% utilization.
qt.qpa.xcb: X server does not support XInput 2
failed to get the current screen resources
QStandardPaths: XDG_RUNTIME_DIR not set, defaulting to '/tmp/runtime-wtf'
qt.qpa.xcb: QXcbConnection: XCB error: 1 (BadRequest), sequence: 164, resource id: 90, major code: 130 (Unknown), minor code: 47
qt.qpa.xcb: QXcbConnection: XCB error: 170 (Unknown), sequence: 177, resource id: 90, major code: 146 (Unknown), minor code: 20
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_placement.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_clocks.webp.png
[WARNING GUI-0010] File path does not end with a valid extension, new path is: ./reports/sky130hd/a2p/base/final_resizer.webp.png
Elapsed time: 2:19.02[h:]min:sec. CPU time: user 136.79 sys 2.09 (99%). Peak memory: 5558588KB.