vec_bperm description is wrong in several ways #47

Closed
opened 4 years ago by wschmidt-ibm · 0 comments
wschmidt-ibm commented 4 years ago (Migrated from github.com)

As described in #14:

For vbpermq, instead of "bit i of r", the third bullet should say "bit 48+i of r". That leaves bits 0:47 and 64:127 as 0, as they should be.

For vbpermd, the first subbullet should replace "jth element of b" with "(i*8+j)th element of b." The second and third subbullets should replace "bit j" with "bit 56+j". That leaves bits 0:55 and 64:119 as 0, as they should be.

That should follow the ISA correctly.

As described in #14: For vbpermq, instead of "bit i of r", the third bullet should say "bit 48+i of r". That leaves bits 0:47 and 64:127 as 0, as they should be. For vbpermd, the first subbullet should replace "jth element of b" with "(i*8+j)th element of b." The second and third subbullets should replace "bit j" with "bit 56+j". That leaves bits 0:55 and 64:119 as 0, as they should be. That should follow the ISA correctly.
Sign in to join this conversation.
No Milestone
No project
No Assignees
1 Participants
Notifications
Due Date
The due date is invalid or out of range. Please use the format 'yyyy-mm-dd'.

No due date set.

Dependencies

No dependencies set.

Reference: systemsoftware/Programming-Guides#47
Loading…
There is no content yet.