You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

3 lines
112 B
Plaintext

create_clock -period 10.000 -name clk [get_ports clk]
create_clock -period 5.0000 -name clk2x [get_ports clk2x]