Fix ghdl error

I'm seeing an issue on my version of ghdl:

  core.vhdl:137:24:error: actual expression must be globally static

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/69/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent d82f4c18b6
commit 8c5dcc8c4c

@ -80,6 +80,7 @@ architecture behave of core is
signal complete: std_ulogic;
signal terminate: std_ulogic;
signal core_rst: std_ulogic;
signal icache_rst: std_ulogic;

-- Debug actions
signal dbg_core_stop: std_ulogic;
@ -134,13 +135,15 @@ begin
)
port map(
clk => clk,
rst => rst or dbg_icache_rst,
rst => icache_rst,
i_in => fetch2_to_icache,
i_out => icache_to_fetch2,
wishbone_out => wishbone_insn_out,
wishbone_in => wishbone_insn_in
);

icache_rst <= rst or dbg_icache_rst;

decode1_0: entity work.decode1
port map (
clk => clk,

Loading…
Cancel
Save