Fix an issue in flash controller when BOOT_CLOCKS is false

If BOOT_CLOCKS is false we currently get stuck in the flash
state machine. This patch from Ben fixes it.

Also fix an x state issue I see in icarus verilog where we need
to reset auto_state.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/256/head
Anton Blanchard 3 years ago committed by Anton Blanchard
parent 7c8bc85e44
commit c870040a20

@ -350,6 +350,7 @@ begin
if rising_edge(clk) then
if rst = '1' then
auto_last_addr <= (others => '0');
auto_state <= AUTO_BOOT;
else
auto_state <= auto_next;
auto_cnt <= auto_cnt_next;
@ -429,6 +430,8 @@ begin
if cmd_ready = '1' then
auto_next <= AUTO_IDLE;
end if;
else
auto_next <= AUTO_IDLE;
end if;
when AUTO_IDLE =>
-- Access to the memory map only when manual CS isn't set

Loading…
Cancel
Save