Fix clk_gen_bypass

I broke clk_gen_bypass when updating the SOC reset code.

Fixes 03fd06deaf ("Rework SOC reset")
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/48/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 80aa781454
commit d89a9929fd

@ -14,7 +14,7 @@ architecture bypass of clock_generator is

begin

pll_locked_out <= pll_rst_in;
pll_locked_out <= not pll_rst_in;
pll_clk_out <= ext_clk;

end architecture bypass;

Loading…
Cancel
Save