You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
Benjamin Herrenschmidt d2762e70e5 Add option to not flatten hierarchy
Vivado by default tries to flatten the module hierarchy to improve
placement and timing. However this makes debugging timing issues
really hard as the net names in the timing report can be pretty
bogus.

This adds a generic that can be used to control attributes to stop
vivado from flattening the main core components. The resulting design
will have worst timing overall but it will be easier to understand
what the worst timing path are and address them.

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
5 years ago
..
LICENSE Initial import of microwatt 5 years ago
arty_a7.xdc fpga: Arty A7's don't need multiple filesets 5 years ago
clk_gen_bypass.vhd Fix clk_gen_bypass 5 years ago
clk_gen_mcmm.vhd Improve PLL/MMCM clocks configuration 5 years ago
clk_gen_plle2.vhd Improve PLL/MMCM clocks configuration 5 years ago
cmod_a7-35.xdc Cmod A7-35 support 5 years ago
firmware.hex Add a few more FPGA related files 5 years ago
hello_world.hex Rebuild hello world assuming a 50MHz clock 5 years ago
mw_soc_memory.vhdl fpga/bram: Generate stall signal 5 years ago
nexys-video.xdc Rename a few reset signals 5 years ago
nexys_a7.xdc Merge pull request #20 from antonblanchard/reset-rework2 5 years ago
pp_fifo.vhd fifo: Reformat 5 years ago
pp_soc_uart.vhd pp_uart: reformat 5 years ago
pp_utilities.vhd Initial import of microwatt 5 years ago
soc_reset.vhdl Rework SOC reset 5 years ago
soc_reset_tb.vhdl Rework SOC reset 5 years ago
toplevel.vhdl Add option to not flatten hierarchy 5 years ago