You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
..
LICENSE
acorn-cle-215.xdc
arty_a7.xdc
clk_gen_bypass.vhd
clk_gen_ecp5.vhd
clk_gen_mcmm.vhd
clk_gen_plle2.vhd
cmod_a7-35.xdc
firmware.hex
fpga-random.vhdl
fpga-random.xdc
genesys2.xdc
hello_world.hex
main_bram.vhdl
nexys-video.xdc
nexys_a7.xdc
pp_fifo.vhd
pp_soc_uart.vhd
pp_utilities.vhd
soc_reset.vhdl
soc_reset_tb.vhdl
top-acorn-cle-215.vhdl
top-arty.vhdl
top-generic.vhdl
top-genesys2.vhdl
top-nexys-video.vhdl