forked from cores/microwatt
You cannot select more than 25 topics
Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
31 lines
1.4 KiB
VHDL
31 lines
1.4 KiB
VHDL
5 years ago
|
library ieee;
|
||
|
use ieee.std_logic_1164.all;
|
||
|
|
||
5 years ago
|
package sim_bram_helpers is
|
||
5 years ago
|
function behavioural_initialize (filename: String; size: integer) return integer;
|
||
|
attribute foreign of behavioural_initialize : function is "VHPIDIRECT behavioural_initialize";
|
||
5 years ago
|
|
||
5 years ago
|
procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier:integer);
|
||
5 years ago
|
attribute foreign of behavioural_read : procedure is "VHPIDIRECT behavioural_read";
|
||
5 years ago
|
|
||
5 years ago
|
procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer);
|
||
|
attribute foreign of behavioural_write : procedure is "VHPIDIRECT behavioural_write";
|
||
5 years ago
|
end sim_bram_helpers;
|
||
5 years ago
|
|
||
5 years ago
|
package body sim_bram_helpers is
|
||
5 years ago
|
function behavioural_initialize (filename: String; size: integer) return integer is
|
||
|
begin
|
||
|
assert false report "VHPI" severity failure;
|
||
|
end behavioural_initialize;
|
||
5 years ago
|
|
||
5 years ago
|
procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer) is
|
||
5 years ago
|
begin
|
||
|
assert false report "VHPI" severity failure;
|
||
|
end behavioural_read;
|
||
5 years ago
|
|
||
5 years ago
|
procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer) is
|
||
|
begin
|
||
|
assert false report "VHPI" severity failure;
|
||
|
end behavioural_write;
|
||
5 years ago
|
end sim_bram_helpers;
|