Fix another reset issue in spi_rxtx

counter was X state after reset, initialize it.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
caravel-20210114
Anton Blanchard 3 years ago committed by Anton Blanchard
parent 39c826aa46
commit a0eb4eec17

@ -177,6 +177,7 @@ begin
sck_send <= '0';
sck_recv <= '0';
clk_div <= 0;
counter := 0;
elsif counter = clk_div then
counter := 0;


Loading…
Cancel
Save