litedram: Regenerate

This regenerate litedram for all targets (genesys2 is new in this
build) using the latest LiteX.

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
jtag-port
Benjamin Herrenschmidt 4 years ago
parent 079af6443e
commit ac81bb17ac

@ -21,7 +21,7 @@ end entity dram_init_mem;

architecture rtl of dram_init_mem is

constant INIT_RAM_SIZE : integer := 16384;
constant INIT_RAM_SIZE : integer := 24576;
constant RND_PAYLOAD_SIZE : integer := round_up(EXTRA_PAYLOAD_SIZE, 8);
constant TOTAL_RAM_SIZE : integer := INIT_RAM_SIZE + RND_PAYLOAD_SIZE;
constant INIT_RAM_ABITS : integer := log2ceil(TOTAL_RAM_SIZE-1);

File diff suppressed because it is too large Load Diff

@ -1,5 +1,5 @@
//--------------------------------------------------------------------------------
// Auto-generated by Migen (b1b2b29) & LiteX (20ff2462) on 2020-06-13 00:02:02
// Auto-generated by Migen (4fea1bd) & LiteX (83d24d08) on 2020-07-08 17:33:20
//--------------------------------------------------------------------------------
module litedram_core(
input wire clk,

@ -0,0 +1,123 @@
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;

library work;
use work.wishbone_types.all;
use work.utils.all;

entity dram_init_mem is
generic (
EXTRA_PAYLOAD_FILE : string := "";
EXTRA_PAYLOAD_SIZE : integer := 0
);
port (
clk : in std_ulogic;
wb_in : in wb_io_master_out;
wb_out : out wb_io_slave_out
);
end entity dram_init_mem;

architecture rtl of dram_init_mem is

constant INIT_RAM_SIZE : integer := 24576;
constant RND_PAYLOAD_SIZE : integer := round_up(EXTRA_PAYLOAD_SIZE, 8);
constant TOTAL_RAM_SIZE : integer := INIT_RAM_SIZE + RND_PAYLOAD_SIZE;
constant INIT_RAM_ABITS : integer := log2ceil(TOTAL_RAM_SIZE-1);
constant INIT_RAM_FILE : string := "litedram_core.init";

type ram_t is array(0 to (TOTAL_RAM_SIZE / 4) - 1) of std_logic_vector(31 downto 0);

-- XXX FIXME: Have a single init function called twice with
-- an offset as argument
procedure init_load_payload(ram: inout ram_t; filename: string) is
file payload_file : text open read_mode is filename;
variable ram_line : line;
variable temp_word : std_logic_vector(63 downto 0);
begin
for i in 0 to RND_PAYLOAD_SIZE-1 loop
exit when endfile(payload_file);
readline(payload_file, ram_line);
hread(ram_line, temp_word);
ram((INIT_RAM_SIZE/4) + i*2) := temp_word(31 downto 0);
ram((INIT_RAM_SIZE/4) + i*2+1) := temp_word(63 downto 32);
end loop;
assert endfile(payload_file) report "Payload too big !" severity failure;
end procedure;

impure function init_load_ram(name : string) return ram_t is
file ram_file : text open read_mode is name;
variable temp_word : std_logic_vector(63 downto 0);
variable temp_ram : ram_t := (others => (others => '0'));
variable ram_line : line;
begin
report "Payload size:" & integer'image(EXTRA_PAYLOAD_SIZE) &
" rounded to:" & integer'image(RND_PAYLOAD_SIZE);
report "Total RAM size:" & integer'image(TOTAL_RAM_SIZE) &
" bytes using " & integer'image(INIT_RAM_ABITS) &
" address bits";
for i in 0 to (INIT_RAM_SIZE/8)-1 loop
exit when endfile(ram_file);
readline(ram_file, ram_line);
hread(ram_line, temp_word);
temp_ram(i*2) := temp_word(31 downto 0);
temp_ram(i*2+1) := temp_word(63 downto 32);
end loop;
if RND_PAYLOAD_SIZE /= 0 then
init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE);
end if;
return temp_ram;
end function;

impure function init_zero return ram_t is
variable temp_ram : ram_t := (others => (others => '0'));
begin
return temp_ram;
end function;

impure function initialize_ram(filename: string) return ram_t is
begin
report "Opening file " & filename;
if filename'length = 0 then
return init_zero;
else
return init_load_ram(filename);
end if;
end function;
signal init_ram : ram_t := initialize_ram(INIT_RAM_FILE);

attribute ram_style : string;
attribute ram_style of init_ram: signal is "block";

signal obuf : std_ulogic_vector(31 downto 0);
signal oack : std_ulogic;
begin

init_ram_0: process(clk)
variable adr : integer;
begin
if rising_edge(clk) then
oack <= '0';
if (wb_in.cyc and wb_in.stb) = '1' then
adr := to_integer((unsigned(wb_in.adr(INIT_RAM_ABITS-1 downto 2))));
if wb_in.we = '0' then
obuf <= init_ram(adr);
else
for i in 0 to 3 loop
if wb_in.sel(i) = '1' then
init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
wb_in.dat(((i + 1) * 8) - 1 downto i * 8);
end if;
end loop;
end if;
oack <= '1';
end if;
wb_out.ack <= oack;
wb_out.dat <= obuf;
end if;
end process;

wb_out.stall <= '0';

end architecture rtl;

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long

@ -21,7 +21,7 @@ end entity dram_init_mem;

architecture rtl of dram_init_mem is

constant INIT_RAM_SIZE : integer := 16384;
constant INIT_RAM_SIZE : integer := 24576;
constant RND_PAYLOAD_SIZE : integer := round_up(EXTRA_PAYLOAD_SIZE, 8);
constant TOTAL_RAM_SIZE : integer := INIT_RAM_SIZE + RND_PAYLOAD_SIZE;
constant INIT_RAM_ABITS : integer := log2ceil(TOTAL_RAM_SIZE-1);

File diff suppressed because it is too large Load Diff

@ -1,5 +1,5 @@
//--------------------------------------------------------------------------------
// Auto-generated by Migen (b1b2b29) & LiteX (20ff2462) on 2020-06-13 00:02:04
// Auto-generated by Migen (4fea1bd) & LiteX (83d24d08) on 2020-07-08 17:33:22
//--------------------------------------------------------------------------------
module litedram_core(
input wire clk,

@ -21,7 +21,7 @@ end entity dram_init_mem;

architecture rtl of dram_init_mem is

constant INIT_RAM_SIZE : integer := 16384;
constant INIT_RAM_SIZE : integer := 24576;
constant RND_PAYLOAD_SIZE : integer := round_up(EXTRA_PAYLOAD_SIZE, 8);
constant TOTAL_RAM_SIZE : integer := INIT_RAM_SIZE + RND_PAYLOAD_SIZE;
constant INIT_RAM_ABITS : integer := log2ceil(TOTAL_RAM_SIZE-1);

@ -5,7 +5,7 @@ a64b5a7d14004a39
2402004ca64b7b7d
602100003c200000
6421ff00782107c6
3d80000060213f00
3d80000060215f00
798c07c6618c0000
618c10e0658cff00
4e8004217d8903a6
@ -518,7 +518,7 @@ a64b5a7d14004a39
4e80002060000000
0000000000000000
3c4c000100000000
7c0802a638429cc4
7c0802a638429ec4
fbe1fff8fbc1fff0
f821ff51f8010010
f88100d83be10020
@ -527,67 +527,67 @@ f88100d83be10020
f8e100f038c100d8
f90100f87fe3fb78
f9410108f9210100
6000000048001239
60000000480014a9
7fe3fb787c7e1b78
6000000048000c21
6000000048000e91
7fc3f378382100b0
00000000480017f8
0000000048001a68
0000028001000000
000000004e800020
0000000000000000
4c00012c7c0007ac
000000004e800020
0000000000000000
38429c203c4c0001
38429e203c4c0001
7d8000267c0802a6
9181000848001735
48000c1df821fed1
91810008480019a5
48000e8df821fed1
3c62ffff60000000
4bffff3938637bb8
4bffff3938637c28
548400023880ffff
7c8026ea7c0004ac
3fe0c0003c62ffff
63ff000838637bd8
63ff000838637c48
3c62ffff4bffff15
38637bf87bff0020
38637c687bff0020
7c0004ac4bffff05
73e900017fe0feea
3c62ffff41820010
4bfffee938637c10
4bfffee938637c80
4e00000073e90002
3c62ffff41820010
4bfffed138637c18
4bfffed138637c88
4d80000073e90004
3c62ffff41820010
4bfffeb938637c20
4bfffeb938637c90
4d00000073e90008
3c62ffff41820010
4bfffea138637c28
4bfffea138637c98
4182001073e90010
38637c383c62ffff
38637ca83c62ffff
3f62ffff4bfffe8d
7f63db783b7b7f70
7f63db783b7b7f28
418e00284bfffe7d
608400103c80c000
7c0004ac78840020
3c62ffff7c8026ea
38637c487884b582
38637cb87884b582
4192004c4bfffe55
608400183c80c000
7c0004ac78840020
3c62ffff7c8026ea
38637c6078846022
38637cd078846022
3c80c0004bfffe2d
7884002060840030
7c8026ea7c0004ac
7884b2823c62ffff
4bfffe0938637c78
4bfffe0938637ce8
612900203d20c000
7c0004ac79290020
3c80000f7d204eea
6084424079290600
7c8923923c62ffff
4bfffdd938637c90
4bfffdd938637d00
3fa0c000418a0258
7bbd002063bd0038
7fa0eeea7c0004ac
@ -604,7 +604,7 @@ f9410108f9210100
57ff063e4bfffd29
7fe6fb783c62ffff
7f84e3787fc5f378
4bfffd5138637cb0
4bfffd5138637d20
7d29fb787f89f378
419e01642f890000
7d29f8387f89f038
@ -624,9 +624,9 @@ f9410108f9210100
7f80feaa7c0004ac
579c063e4bfffc81
7f84e3783c62ffff
4bfffcb138637cd0
4bfffcb138637d40
4082009073890002
38637cf03c62ffff
38637d603c62ffff
7c0004ac4bfffc9d
392000067f40f7aa
7d20ffaa7c0004ac
@ -644,7 +644,7 @@ f9410108f9210100
579c063e7f80feaa
738900014bfffbe1
3c62ffff4082ffdc
4bfffc1138637d08
4bfffc1138637d78
614a60083d40c000
7c0004ac794a0020
5529021e7d20562a
@ -652,62 +652,62 @@ f9410108f9210100
7d20572a7c0004ac
4bfffbe17f63db78
3c62ffff7bbd0020
38637d187fa4eb78
38637d887fa4eb78
3be000014bfffbcd
4bfffbc17f63db78
3ca2ffff41920028
3c62ffff3c82ffff
38847d4838a57d38
4bfffba138637d50
6000000048000605
38847db838a57da8
4bfffba138637dc0
6000000048000311
3c62ffff418e0024
4bfffb8938637d80
4bfffb8938637df0
4800014438600000
3ba000003be00000
2fbf00004bffffb0
3c62ffff419e0084
4bfffb6138637d98
4bfffb6138637e08
38a000403c9df000
3861007078840020
6000000048000aa9
6000000048000d19
3d400002e9210070
794a83e4614a464c
614a457f79290600
419e00807fa95000
38637db03c62ffff
38637e203c62ffff
886100774bfffb1d
8921007589410076
88e1007389010074
88a1007188c10072
f861006088810070
38637e303c62ffff
38637ea03c62ffff
3c62ffff4bfffaed
4bfffae138637e60
38a0ffff3c80ff00
54a5042260844000
4bfffae138637ed0
38a000003c80ff00
60a5a00060846000
3c60400078840020
6000000048000a21
38637e803c62ffff
6000000048000c91
38637ef03c62ffff
4bfffb354bfffab5
892100754bffff28
409e00102f890001
2f890015a1210082
3c62ffff419e0010
4bffff6c38637dd0
4bffff6c38637e40
3f02ffffebe10090
3b2100b03bc00000
7fffea143b187de8
7fffea143b187e58
a12100a87bff0020
419d00347f89f040
3c62ffff80810088
4bfffa5138637e10
4bfffa5138637e80
e86100884bfffad1
419eff582fa3ffff
8181000838210130
480012607d838120
480014d07d838120
38a000383c9ff000
7f23cb7878840020
6000000048000979
6000000048000be9
2f890001812100b0
eb4100d0409e004c
eb8100b8eb6100c0
@ -716,14 +716,14 @@ eb8100b8eb6100c0
4bfff9e93f9cf000
7b4500207c9de214
7f63db7878840020
6000000048000931
6000000048000ba1
7fe9fa14a12100a6
3bde00017bff0020
4bffff507bde0020
409efdcc2b9c0020
409efdc42b9e00ba
409efdbc2b9f0018
38637d003c62ffff
38637d703c62ffff
4bfffd784bfff995
0300000000000000
3d20c80000000880
@ -734,134 +734,40 @@ eb8100b8eb6100c0
7d20572a7c0004ac
000000004e800020
0000000000000000
384296003c4c0001
384298003c4c0001
3d40c8007c0802a6
3920000e614a0800
f8010010794a0020
7c0004acf821ffa1
3c62ffff7d20572a
4bfff91138637f90
4bfff91138637f50
3821006060000000
7c0803a6e8010010
000000004e800020
0000008001000000
384295a83c4c0001
384297a83c4c0001
3d40c8007c0802a6
39200001614a0800
f8010010794a0020
7c0004acf821ffa1
3c62ffff7d20572a
4bfff8b938637f50
4bfff8b938637f08
3821006060000000
7c0803a6e8010010
000000004e800020
0000008001000000
384295503c4c0001
390000807c0802a6
3d40aaaa7d0903a6
614aaaaa3d204000
f821ff8148001069
3929000491490000
4bfff8d54200fff8
3940008060000000
7d4903a63d00aaaa
3be000003d204000
814900006108aaaa
419e000c7f8a4000
7fff07b43bff0001
4200ffe839290004
3d40555539000080
3d2040007d0903a6
91490000614a5555
4200fff839290004
600000004bfff879
3d00555539400080
3d2040007d4903a6
8149000061085555
419e000c7f8a4000
7fff07b43bff0001
4200ffe839290004
419e001c2fbf0000
38a001003c62ffff
38637e987fe4fb78
600000004bfff7b5
3ce0802039000100
60e700037d0903a6
392000013d404000
7928f84278e70020
7d2900d0792907e0
7d293838394a0004
912afffc7d294278
4bfff7e54200ffe4
3900010060000000
7d0903a63ce08020
3d40400060e70003
392000013ba00000
7928f84278e70020
7d2900d0792907e0
7d2942787d293838
7f884840810a0000
3bbd0001419e000c
394a00047fbd07b4
2fbd00004200ffd4
3c62ffff419e001c
7fa4eb7838a00100
4bfff70138637ec0
3920002060000000
7d2903a639400000
794800203d2a1000
394a000139290002
9109000079291764
4bfff7454200ffe8
3920002060000000
7d2903a639400000
3d2a10003bc00000
8129000879291764
7f8950005529043e
3bde0001419e000c
394a00017fde07b4
2fbe00004200ffdc
3c62ffff419e001c
7fc4f37838a00020
4bfff67938637ee8
7fffea1460000000
7ffff21438600000
409e00ac2f9f0000
38637f103c62ffff
600000004bfff655
394000807c9602a6
7d4903a678840020
3d49080039200000
f92a0000794a1f24
4200fff039290001
7c9f20507ff602a6
63ff80003fe0000c
4bfff6857fff2396
7bff002060000000
390000807d3602a6
7d0903a679290020
e90a00003d404000
4200fff8394a0008
7d2548507cb602a6
60a580003ca0000c
7ca54b963c62ffff
38637f207fe4fb78
4bfff5c178a50320
3860000160000000
48000df438210080
0100000000000000
3c4c000100000380
7c0802a63842925c
38637f783c62ffff
f821ff7148000d75
384297503c4c0001
3c62ffff7c0802a6
38637f303c804000
f821ff71480012d5
3be000003f60c800
7b7b0020637b1000
600000004bfff575
600000004bfff865
7fe0df2a7c0004ac
635a10043f40c800
7c0004ac7b5a0020
3fa0c8007fe0d72a
63bd080c4bfffc11
63bd080c4bffff01
7c0004ac7bbd0020
3fc0c8007fe0ef2a
7bde002063de0810
@ -876,33 +782,205 @@ f821ff7148000d75
7d20ef2a7c0004ac
7c0004ac39200002
3860000f7d20f72a
7c0004ac4bfffb55
7c0004ac4bfffe45
392000037fe0ef2a
7d20f72a7c0004ac
4bfffb393860000f
4bfffe293860000f
7c0004ac39200006
3b8000017d20ef2a
7f80f72a7c0004ac
4bfffb193860000f
4bfffe093860000f
7c0004ac39200920
7c0004ac7d20ef2a
3860000f7fe0f72a
392004004bfffafd
392004004bfffded
7d20ef2a7c0004ac
7fe0f72a7c0004ac
4bfffae138600003
4bfffbcd4bfffb79
4082001c2c230000
7f80df2a7c0004ac
7f80d72a7c0004ac
48000c7038210090
7f80df2a7c0004ac
4bffffec38600001
4bfffdd138600003
3c8010004bfffe69
480004653c604000
2c23000060000000
7c0004ac4082001c
7c0004ac7f80df2a
382100907f80d72a
7c0004ac480011c4
386000017f80df2a
000000004bffffec
0000068001000000
384295b83c4c0001
7884f0827c0802a6
3d20aaaa39440001
6129aaaa7d4903a6
f821ffc148001141
3be000007c7d1b78
4bfff73d4200006c
395f000160000000
7d4903a63d00aaaa
3bc0000039200000
420000586108aaaa
3d405555391f0001
392000007d0903a6
42000060614a5555
600000004bfff701
3d005555395f0001
392000007d4903a6
4200005061085555
7fc3f37838210040
7bea176448001118
7d3d512e3bff0001
792a17644bffff88
7f8a40007d5d502e
3bde0001419e000c
392900017fde07b4
792817644bffff8c
7d5d412e39290001
792a17644bffff94
7f8a40007d5d502e
3bde0001419e000c
392900017fde07b4
000000004bffff94
0000038001000000
384294b03c4c0001
7884f0827c0802a6
2fa5000039440001
392000017d4903a6
f821ffc148001039
3be000007c7d1b78
420000347cbe2b78
600000004bfff631
2ebe0000395f0001
38e000007d4903a6
3860000039200001
420000447ce607b4
4800104438210040
792af842419e002c
7d2900d0792907e0
7d2952787129d008
792a17647be80020
7d1d512e3bff0001
392900014bffffa4
4bffffe45529043e
7928f8424196003c
7d2900d0792907e0
7d2942787129d008
7d1d402e79281764
7f8830005508043e
39430001419e000c
38e700017d4307b4
392900014bffff80
4bffffd45529043e
0100000000000000
3c4c000100000380
7c0802a6384293bc
390400017884f082
7d0903a63d408020
39200001614a0003
48000f35794a0020
7cbd2b79f821ff71
3be000007c7b1b78
3f82ffff42000060
3b9c7f283bc00000
4bfff4b17f83e378
4bfff51d60000000
391f000160000000
7d0903a63ce08020
2fbd000060e70003
3920000139400000
4200005078e70020
4bfff4797f83e378
3821009060000000
48000f147fc3f378
7928f84241820028
7d2900d0792907e0
7d2942787d295038
3bff00017be81764
4bffff7c7d3b412e
7929002039290001
419e00384bffffe8
792907e07928f842
7d2938387d2900d0
794817647d294278
7e8848407d1b402e
3bde00014196000c
394a00017fde07b4
392900014bffff7c
4bffffd879290020
0100000000000000
3c4c000100000680
60000000384290d4
6000000039228010
8929000039428008
3c4c000100000580
7c0802a63842929c
f821ff8148000e35
7c7d1b787c9e2378
3c62ffff7c641b78
38637f787cbc2b78
4bfff3b17bdfe8c2
2fbc000060000000
409e003438800000
38ff00017d3602a6
7ce903a6792a0020
3900ffff39200000
7d3602a642000074
7d2950501c9e0320
7c844b9279290020
38637f903c62ffff
600000004bfff365
600000004bfff3d1
391f00017d3602a6
792a00207d0903a6
4200004039200000
1c9e03207d3602a6
792900207d295050
7c844b923c62ffff
4bfff32138637fa8
3821008060000000
79271f2448000dc4
7d1d392a39290001
79281f244bffff80
7d1d402a39290001
000000004bffffb4
0000048001000000
384291a03c4c0001
2ba402007c0802a6
f821ff6148000d29
3b8002007c7e1b78
7c9c2378419d0008
7c9d23782ba40080
3ba00080409d0008
7c9f23782ba40400
3be00400409d0008
7fc4f3783c62ffff
4bfff29138637fc0
7f84e37860000000
4bfffb8d7fc3f378
7fa4eb7838a00000
7fc3f3787c791b78
38a000014bfffc81
7c7a1b787fe4fb78
4bfffd617fc3f378
7d291a147d39d214
2f8900007c7b1b78
3c62ffff419e0068
7f24cb787b85f882
4bfff23138637fd8
3c62ffff60000000
7f44d3787ba5f082
4bfff21938637ff0
6000000060000000
7f64db787be5f082
4bfff20138628008
6000000060000000
4bfff1f138628020
3860000060000000
48000c84382100a0
3862803060000000
600000004bfff1d5
38a000007fc3f378
4bfffde97fe4fb78
4bffffd438600001
0100000000000000
3c4c000100000780
6000000038429064
6000000039228098
8929000039428090
419e002c2f890000
39290014e92a0000
7d204eaa7c0004ac
@ -916,7 +994,7 @@ e94a00005469063e
7d2057ea7c0004ac
000000004e800020
0000000000000000
384290503c4c0001
38428fe03c4c0001
fbc1fff07c0802a6
3bc3fffffbe1fff8
f821ffd1f8010010
@ -928,7 +1006,7 @@ f821ffd1f8010010
4bffff397fe3fb78
000000004bffffd0
0000028001000000
38428ff03c4c0001
38428f803c4c0001
612900203d20c000
7c0004ac79290020
3d00c0007d204eea
@ -940,8 +1018,8 @@ f821ffd1f8010010
7c0004ac794a0020
3d00c0007d4056ea
6000000060000000
6108200038e28010
f902800879080020
6108200038e28098
f902809079080020
610820003d00001c
7948f8047d294392
4182008079080fc3
@ -949,15 +1027,15 @@ f902800879080020
994700006108200c
3940ff8079080020
7d4047aa7c0004ac
7c0004ace9428008
e94280087d2057aa
7c0004ace9428090
e94280907d2057aa
394a00047929c202
7d2057aa7c0004ac
39400003e9228008
39400003e9228090
7c0004ac3929000c
e92280087d404faa
e92280907d404faa
7c0004ac39290010
e92280087d404faa
e92280907d404faa
3929000839400007
7d404faa7c0004ac
3d40c0004e800020
@ -1028,7 +1106,7 @@ f924000039290002
7c6307b43863ffe0
000000004e800020
0000000000000000
38428cd03c4c0001
38428c603c4c0001
3d2037367c0802a6
612935347d908026
65293332792907c6
@ -1062,7 +1140,7 @@ fbfd00007fe9fa14
4bfffff07d29f392
0300000000000000
3c4c000100000580
7c0802a638428bc4
7c0802a638428b54
f821ffb1480006e9
7c7f1b78eb630000
7cbd2b787c9c2378
@ -1078,7 +1156,7 @@ f821ffb1480006e9
4bffffb8f93f0000
0100000000000000
3c4c000100000580
7c0802a638428b44
7c0802a638428ad4
f821ffa148000661
7c9b23787c7d1b78
388000007ca32b78
@ -1109,16 +1187,16 @@ e95d00009b270000
f95d0000394a0001
000000004bffffa8
0000078001000000
38428a483c4c0001
384289d83c4c0001
480005397c0802a6
7c741b79f821fed1
38600000f8610060
2fa4000041820068
39210040419e0060
3ac4ffff3e42ffff
3ac4ffff60000000
f92100703b410020
3ae0000060000000
3a527fb839228000
3a42804039228088
f92100783ba10060
ebc1006089250000
419e00102fa90000
@ -1349,9 +1427,9 @@ e8010010ebc1fff0
203a46464f204853
7479622078257830
00000000000a7365
6633623461653832
3830643432643338
0000000000000000
0039326232623162
0064623161656634
4d4152446574694c
6620746c69756220
6567694d206d6f72
@ -1393,42 +1471,45 @@ e8010010ebc1fff0
20676e69746f6f42
415244206d6f7266
0000000a2e2e2e4d
20747365746d654d
6c69616620737562
252f6425203a6465
73726f7272652064
000000000000000a
20747365746d654d
6961662061746164
2f6425203a64656c
726f727265206425
0000000000000a73
20747365746d654d
6961662072646461
2f6425203a64656c
726f727265206425
0000000000000a73
20747365746d654d
00000000000a4b4f
64656570736d654d
3a73657469725720
7370624d646c2520
203a736461655220
0a7370624d646c25
0000000000000000
6f6e204d41524453
207265646e752077
6572617764726168
6c6f72746e6f6320
000000000000000a
696c616974696e49
52445320676e697a
00000a2e2e2e4d41
41524420676e697a
383025783040204d
0000000a2e2e2e78
6f6e204d41524453
207265646e752077
6572617774666f73
6c6f72746e6f6320
000000000000000a
64656570736d654d
7025783020746120
000000000a2e2e2e
203a736574697257
7370624d20646c25
000000000000000a
20203a7364616552
7370624d20646c25
000000000000000a
20747365746d654d
2e70257830207461
00000000000a2e2e
726520737562202d
2520203a73726f72
00000a646c252f64
652072646461202d
25203a73726f7272
00000a646c252f64
652061746164202d
25203a73726f7272
00000a646c252f64
20747365746d654d
00000000000a4f4b
20747365746d654d
00000000000a4b4f
0000000000000000
00000000000000ff
000000000000ffff

File diff suppressed because it is too large Load Diff
Loading…
Cancel
Save