You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/scripts
Anton Blanchard 185bcba6bb Add a simple test case
We use 2 32bit RAMs, so we need to split the test case into
two files.
3 years ago
..
fmt_log core: Add framework for an FPU 4 years ago
mw_debug Add core logging 4 years ago
bin2hex-split.py Add a simple test case 3 years ago
bin2hex.py bin2hex: Make sure to generate little endian files 4 years ago
dependencies.py uart: Rename sim_uart.vhdl to sim_pp_uart.vhdl 4 years ago
gen_icache_tb.py icache_tb: Improve test and include test file 5 years ago
run_test.sh Dump CTR, LR and CR on sim termination, and update our tests 4 years ago
run_test_console.sh Add test cases for new exceptions and supervisor state 4 years ago
test_micropython.py Update micropython 4 years ago
test_micropython_long.py Update micropython 4 years ago
vhdltags Add VHDL TAGS 4 years ago