You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/litedram/gen-src
Anton Blanchard 07f2edc415 litedram: sdrinit() is now sdram_init()
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
3 years ago
..
sdram_init litedram: sdrinit() is now sdram_init() 3 years ago
acorn-cle-215.yml litedram: Update yaml files 3 years ago
arty.yml litedram: Update yaml files 3 years ago
dram-init-mem.vhdl litedram: Update generator to work with latest LiteX 4 years ago
generate.py litedram: Fix compiler warning 3 years ago
genesys2.yml litedram: Update yaml files 3 years ago
nexys-video.yml litedram: Update yaml files 3 years ago
no-init-mem.vhdl litedram: Split the init memory from the main wrapper 4 years ago
sim.yml litedram: Update yaml files 3 years ago