Metavalue cleanup for rotator.vhdl

Signed-off-by: Michael Neuling <mikey@neuling.org>
pull/378/head
Michael Neuling 2 years ago
parent 5a03de4c90
commit 43e62dbd9e

@ -34,6 +34,10 @@ architecture behaviour of rotator is
variable ret: std_ulogic_vector(63 downto 0);
begin
ret := (others => '0');
if is_X(mask_begin) then
ret := (others => 'X');
return ret;
end if;
for i in 0 to 63 loop
if i >= to_integer(unsigned(mask_begin)) then
ret(63 - i) := '1';

Loading…
Cancel
Save