Add a default value for RESET_ADDRESS

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/55/head
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 71e45a82ee
commit d52046104f

@ -7,7 +7,7 @@ use work.common.all;


entity fetch1 is entity fetch1 is
generic( generic(
RESET_ADDRESS : std_logic_vector(63 downto 0) RESET_ADDRESS : std_logic_vector(63 downto 0) := (others => '0')
); );
port( port(
clk : in std_ulogic; clk : in std_ulogic;

Loading…
Cancel
Save