litedram: Update to latest LiteX/LiteDRAM version

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
pull/190/head
Benjamin Herrenschmidt 4 years ago
parent 6828e93113
commit eaf6883e57

@ -38,4 +38,5 @@


# CSR Port ----------------------------------------------------------------- # CSR Port -----------------------------------------------------------------
"csr_base" : 0xc0100000, # For cpu=None only "csr_base" : 0xc0100000, # For cpu=None only
csr_data_width : 32,
} }

@ -38,7 +38,7 @@ def build_init_code(build_dir, is_sim):
sw_inc_dir = os.path.join(sw_dir, "include") sw_inc_dir = os.path.join(sw_dir, "include")
gen_inc_dir = os.path.join(sw_inc_dir, "generated") gen_inc_dir = os.path.join(sw_inc_dir, "generated")
src_dir = os.path.join(gen_src_dir, "sdram_init") src_dir = os.path.join(gen_src_dir, "sdram_init")
lxbios_src_dir = os.path.join(soc_directory, "software", "bios") lxbios_src_dir = os.path.join(soc_directory, "software", "liblitedram")
lxbios_inc_dir = os.path.join(soc_directory, "software", "include") lxbios_inc_dir = os.path.join(soc_directory, "software", "include")
print(" sw dir:", sw_dir) print(" sw dir:", sw_dir)
print("gen_inc_dir:", gen_inc_dir) print("gen_inc_dir:", gen_inc_dir)
@ -109,6 +109,7 @@ def generate_one(t, mw_init):
# Override values for mw_init # Override values for mw_init
if mw_init: if mw_init:
core_config["cpu"] = None core_config["cpu"] = None
core_config["cpu_variant"] = "standard"
core_config["csr_alignment"] = 64 core_config["csr_alignment"] = 64


# Generate core # Generate core
@ -121,7 +122,7 @@ def generate_one(t, mw_init):
else: else:
raise ValueError("Unsupported SDRAM PHY: {}".format(core_config["sdram_phy"])) raise ValueError("Unsupported SDRAM PHY: {}".format(core_config["sdram_phy"]))


soc = LiteDRAMCore(platform, core_config, is_sim = is_sim, integrated_rom_size=0x6000, csr_data_width=32) soc = LiteDRAMCore(platform, core_config, is_sim = is_sim, integrated_rom_size=0x6000)


# Build into build_dir # Build into build_dir
builder = Builder(soc, output_dir=build_dir, compile_gateware=False) builder = Builder(soc, output_dir=build_dir, compile_gateware=False)

@ -38,4 +38,5 @@


# CSR Port ----------------------------------------------------------------- # CSR Port -----------------------------------------------------------------
"csr_base" : 0xc0100000, # For cpu=None only "csr_base" : 0xc0100000, # For cpu=None only
csr_data_width : 32,
} }

@ -14,8 +14,8 @@ entity dram_init_mem is
); );
port ( port (
clk : in std_ulogic; clk : in std_ulogic;
wb_in : in wb_io_master_out; wb_in : in wb_io_master_out;
wb_out : out wb_io_slave_out wb_out : out wb_io_slave_out
); );
end entity dram_init_mem; end entity dram_init_mem;


@ -47,27 +47,27 @@ architecture rtl of dram_init_mem is
end procedure; end procedure;


impure function init_load_ram(name : string) return ram_t is impure function init_load_ram(name : string) return ram_t is
file ram_file : text open read_mode is name; file ram_file : text open read_mode is name;
variable temp_word : std_logic_vector(63 downto 0); variable temp_word : std_logic_vector(63 downto 0);
variable temp_ram : ram_t := (others => (others => '0')); variable temp_ram : ram_t := (others => (others => '0'));
variable ram_line : line; variable ram_line : line;
begin begin
report "Payload size:" & integer'image(EXTRA_PAYLOAD_SIZE) & report "Payload size:" & integer'image(EXTRA_PAYLOAD_SIZE) &
" rounded to:" & integer'image(RND_PAYLOAD_SIZE); " rounded to:" & integer'image(RND_PAYLOAD_SIZE);
report "Total RAM size:" & integer'image(TOTAL_RAM_SIZE) & report "Total RAM size:" & integer'image(TOTAL_RAM_SIZE) &
" bytes using " & integer'image(INIT_RAM_ABITS) & " bytes using " & integer'image(INIT_RAM_ABITS) &
" address bits"; " address bits";
for i in 0 to (INIT_RAM_SIZE/8)-1 loop for i in 0 to (INIT_RAM_SIZE/8)-1 loop
exit when endfile(ram_file); exit when endfile(ram_file);
readline(ram_file, ram_line); readline(ram_file, ram_line);
hread(ram_line, temp_word); hread(ram_line, temp_word);
temp_ram(i*2) := temp_word(31 downto 0); temp_ram(i*2) := temp_word(31 downto 0);
temp_ram(i*2+1) := temp_word(63 downto 32); temp_ram(i*2+1) := temp_word(63 downto 32);
end loop; end loop;
if RND_PAYLOAD_SIZE /= 0 then if RND_PAYLOAD_SIZE /= 0 then
init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE); init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE);
end if; end if;
return temp_ram; return temp_ram;
end function; end function;


impure function init_zero return ram_t is impure function init_zero return ram_t is
@ -95,27 +95,27 @@ architecture rtl of dram_init_mem is
begin begin


init_ram_0: process(clk) init_ram_0: process(clk)
variable adr : integer; variable adr : integer;
begin begin
if rising_edge(clk) then if rising_edge(clk) then
oack <= '0'; oack <= '0';
if (wb_in.cyc and wb_in.stb) = '1' then if (wb_in.cyc and wb_in.stb) = '1' then
adr := to_integer((unsigned(wb_in.adr(INIT_RAM_ABITS-1 downto 2)))); adr := to_integer((unsigned(wb_in.adr(INIT_RAM_ABITS-1 downto 2))));
if wb_in.we = '0' then if wb_in.we = '0' then
obuf <= init_ram(adr); obuf <= init_ram(adr);
else else
for i in 0 to 3 loop for i in 0 to 3 loop
if wb_in.sel(i) = '1' then if wb_in.sel(i) = '1' then
init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <= init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
wb_in.dat(((i + 1) * 8) - 1 downto i * 8); wb_in.dat(((i + 1) * 8) - 1 downto i * 8);
end if; end if;
end loop; end loop;
end if; end if;
oack <= '1'; oack <= '1';
end if; end if;
wb_out.ack <= oack; wb_out.ack <= oack;
wb_out.dat <= obuf; wb_out.dat <= obuf;
end if; end if;
end process; end process;


wb_out.stall <= '0'; wb_out.stall <= '0';

@ -510,7 +510,7 @@ a64b5a7d14004a39
0000000000000000 0000000000000000
0000000000000000 0000000000000000
0000000000000000 0000000000000000
3842a2003c4c0001 3842a1003c4c0001
fbc1fff07c0802a6 fbc1fff07c0802a6
f8010010fbe1fff8 f8010010fbe1fff8
3be10020f821fe91 3be10020f821fe91
@ -519,11 +519,11 @@ f8c101a838800140
38c101987c651b78 38c101987c651b78
7fe3fb78f8e101b0 7fe3fb78f8e101b0
f92101c0f90101b8 f92101c0f90101b8
480017a5f94101c8 48001735f94101c8
7c7e1b7860000000 7c7e1b7860000000
480012bd7fe3fb78 4800124d7fe3fb78
3821017060000000 3821017060000000
48001d647fc3f378 48001cf47fc3f378
0100000000000000 0100000000000000
4e80002000000280 4e80002000000280
0000000000000000 0000000000000000
@ -531,76 +531,76 @@ f92101c0f90101b8
4e8000204c00012c 4e8000204c00012c
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
7c0802a63842a15c 7c0802a63842a05c
7d800026fbe1fff8 7d800026fbe1fff8
91810008f8010010 91810008f8010010
480011b1f821ff91 48001141f821ff91
3c62ffff60000000 3c62ffff60000000
4bffff3538637be8 4bffff3538637c78
548400023880ffff 548400023880ffff
7c8026ea7c0004ac 7c8026ea7c0004ac
3fe0c0003c62ffff 3fe0c0003c62ffff
63ff000838637c08 63ff000838637c98
3c62ffff4bffff11 3c62ffff4bffff11
38637c287bff0020 38637cb87bff0020
7c0004ac4bffff01 7c0004ac4bffff01
73e900017fe0feea 73e900017fe0feea
3c62ffff41820010 3c62ffff41820010
4bfffee538637c40 4bfffee538637cd0
4d80000073e90002 4d80000073e90002
3c62ffff41820010 3c62ffff41820010
4bfffecd38637c48 4bfffecd38637cd8
4e00000073e90004 4e00000073e90004
3c62ffff41820010 3c62ffff41820010
4bfffeb538637c50 4bfffeb538637ce0
3bff7f283fe2ffff 3bff7f203fe2ffff
4bfffea57fe3fb78 4bfffea57fe3fb78
3c80c00041920028 3c80c00041920028
7884002060840010 7884002060840010
7c8026ea7c0004ac 7c8026ea7c0004ac
7884b2823c62ffff 7884b2823c62ffff
4bfffe7d38637c58 4bfffe7d38637ce8
3c80c000418e004c 3c80c000418e004c
7884002060840018 7884002060840018
7c8026ea7c0004ac 7c8026ea7c0004ac
788465023c62ffff 788465023c62ffff
4bfffe5538637c78 4bfffe5538637d08
608400303c80c000 608400303c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637c987884b282 38637d287884b282
3d20c0004bfffe31 3d20c0004bfffe31
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
3c62ffff3c80000f 3c62ffff3c80000f
38637cb860844240 38637d4860844240
4bfffe057c892392 4bfffe057c892392
4bfffdfd7fe3fb78 4bfffdfd7fe3fb78
3ca2ffff418e0028 3ca2ffff418e0028
3c62ffff3c82ffff 3c62ffff3c82ffff
38847ce838a57cd8 38847d7838a57d68
4bfffddd38637cf0 4bfffddd38637d80
6000000048000e95 6000000048000e29
3c62ffff41920020 3c62ffff41920020
4bfffdc538637d20 4bfffdc538637db0
8181000838210070 8181000838210070
48001b807d818120 48001b107d818120
38637d383c62ffff 38637dc83c62ffff
3c80f0004bfffda9 3c80f0004bfffda9
6084400038a0ffff 6084400038a0ffff
7884002054a50422 7884002054a50422
480012553c604000 480011e53c604000
3c62ffff60000000 3c62ffff60000000
4bfffd7d38637d58 4bfffd7d38637de8
e801001038210070 e801001038210070
ebe1fff881810008 ebe1fff881810008
7d8181207c0803a6 7d8181207c0803a6
000000004bfffde4 000000004bfffde4
0000018003000000 0000018003000000
612908083d20c010 612908043d20c010
7c0004ac79290020 7c0004ac79290020
3d40c0107c604f2a 3d40c0107c604f2a
614a081039200001 614a080839200001
7c0004ac794a0020 7c0004ac794a0020
4e8000207d20572a 4e8000207d20572a
0000000000000000 0000000000000000
@ -610,29 +610,29 @@ ebe1fff881810008
4e8000204200fffc 4e8000204200fffc
0000000000000000 0000000000000000
3d20c01000000000 3d20c01000000000
6129002839400001 6129001439400001
792900207d431830 792900207d431830
7c604f2a7c0004ac 7c604f2a7c0004ac
610800303d00c010 610800183d00c010
7c0004ac79080020 7c0004ac79080020
394000007d40472a 394000007d40472a
7d404f2a7c0004ac 7d404f2a7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
394000013d20c010 394000013d20c010
7d43183061290028 7d43183061290014
7c0004ac79290020 7c0004ac79290020
3d00c0107c604f2a 3d00c0107c604f2a
7908002061080038 790800206108001c
7d40472a7c0004ac 7d40472a7c0004ac
7c0004ac39400000 7c0004ac39400000
4e8000207d404f2a 4e8000207d404f2a
0000000000000000 0000000000000000
3d40c01000000000 3d40c01000000000
614a086839200025 614a083439200025
7c0004ac794a0020 7c0004ac794a0020
3d40c0107d20572a 3d40c0107d20572a
614a087039200001 614a083839200001
7c0004ac794a0020 7c0004ac794a0020
4e8000207d20572a 4e8000207d20572a
0000000000000000 0000000000000000
@ -653,10 +653,10 @@ ebe1fff881810008
9864000099240001 9864000099240001
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38429d883c4c0001 38429c883c4c0001
480018c57c0802a6 480018557c0802a6
7c7e1b78f821ff21 7c7e1b78f821ff21
38637ec03c62ffff 38637eb83c62ffff
600000004bfffb71 600000004bfffb71
390100603ca08020 390100603ca08020
3940000460a50003 3940000460a50003
@ -670,45 +670,45 @@ ebe1fff881810008
394affff4200ffe0 394affff4200ffe0
794a002139080004 794a002139080004
3d20c0104082ffc4 3d20c0104082ffc4
612908183be00000 6129080c3be00000
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
7929002061290820 7929002061290810
7fe04f2a7c0004ac 7fe04f2a7c0004ac
4bfffd8d38600009 4bfffd8d38600009
4bfffdc13860000f 4bfffdc13860000f
7fa4eb783c60c010 7fa4eb783c60c010
7863002060630828 7863002060630814
3c60c0104bfffead 3c60c0104bfffead
6063085838810064 6063082c38810064
4bfffe9978630020 4bfffe9978630020
388100683c60c010 388100683c60c010
7863002060630888 7863002060630844
3c60c0104bfffe85 3c60c0104bfffe85
606308b83881006c 6063085c3881006c
4bfffe7178630020 4bfffe7178630020
612908a83d20c010 612908543d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
79290020612908b0 7929002061290858
7fe04f2a7c0004ac 7fe04f2a7c0004ac
392000173d40c010 392000173d40c010
794a0020614a0898 794a0020614a084c
7d20572a7c0004ac 7d20572a7c0004ac
392000013d40c010 392000013d40c010
794a0020614a08a0 794a0020614a0850
7d20572a7c0004ac 7d20572a7c0004ac
612908783d20c010 6129083c3d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
7929002061290880 7929002061290840
7fe04f2a7c0004ac 7fe04f2a7c0004ac
22de00017fc3f378 22de00017fc3f378
213e00034bfffd0d 213e00034bfffd0d
793500203ee2ffff 793500203ee2ffff
7d2907b47ed607b4 7d2907b47ed607b4
3b0100703be00000 3b0100703be00000
7f3db2143af77ee8 7f3db2143af77ee0
7f5d4a147ebdaa14 7f5d4a147ebdaa14
3860000f4bfffd75 3860000f4bfffd75
4bfffca93b800000 4bfffca93b800000
@ -749,349 +749,335 @@ ebe1fff881810008
4bffffcc3b400000 4bffffcc3b400000
7fbfe2142f9f0020 7fbfe2142f9f0020
409e006c7fbd0e70 409e006c7fbd0e70
38637ed03c62ffff 38637ec83c62ffff
600000004bfff889 600000004bfff889
3be000007fc3f378 3be000007fc3f378
7f9fe8004bfffb8d 7f9fe8004bfffb8d
3d40c010419c0070 3d40c010419c0070
614a081839200000 614a080c39200000
7c0004ac794a0020 7c0004ac794a0020
3d40c0107d20572a 3d40c0107d20572a
794a0020614a0820 794a0020614a0810
7d20572a7c0004ac 7d20572a7c0004ac
4bfffaed3860000b 4bfffaed3860000b
4bfffb213860000f 4bfffb213860000f
480015bc382100e0 4800154c382100e0
3c62ffff7cbfe050 3c62ffff7cbfe050
7ca501947ca50e70 7ca501947ca50e70
38637ed87fa4eb78 38637ed07fa4eb78
4bfff80d7ca507b4 4bfff80d7ca507b4
4bffff8460000000 4bffff8460000000
3bff00017fc3f378 3bff00017fc3f378
7fff07b44bfffb59 7fff07b44bfffb59
000000004bffff7c 000000004bffff7c
00000b8001000000 00000b8001000000
384299d83c4c0001 384298d83c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
3920000e614a0800 3920000e614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff7ad38637f98 4bfff7ad38637f90
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384299803c4c0001 384298803c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
39200001614a0800 39200001614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff75538637f08 4bfff75538637f00
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384299283c4c0001 384298283c4c0001
3c62ffff7c0802a6 390000807c0802a6
4800147538637d70 3d40aaaa7d0903a6
4bfff715f821ff71
3900008060000000
7d0903a63d40aaaa
614aaaaa3d204000 614aaaaa3d204000
f821ff8148001401
3929000491490000 3929000491490000
3f60aaaa4200fff8 4bfff7714200fff8
600000004bfff75d 3940008060000000
3f82ffff3fa0aaaa 7d4903a63d00aaaa
3bc00000637baaaa 3be000003d204000
63bdaaaa3be00000 814900006108aaaa
7b7b00203b9c7d80 419e000c7f8a4000
7fc407b43d3e1000 7fff07b43bff0001
80a9000079291764 4200ffe839290004
419e001c7f85e800 3d40555539000080
7f66db783bff0001 3d2040007d0903a6
7fff07b47f83e378 91490000614a5555
600000004bfff699 4200fff839290004
2bbe00803bde0001 600000004bfff715
39000080409effc8 3d00555539400080
7d0903a63d405555 3d2040007d4903a6
614a55553d204000 8149000061085555
3929000491490000 419e000c7f8a4000
4bfff6d94200fff8 7fff07b43bff0001
3fa0555560000000 4200ffe839290004
3f6055553f82ffff 419e001c2fbf0000
63bd55553bc00000 38a001003c62ffff
637b55553b9c7da0 38637e007fe4fb78
7fc407b43d3e1000 600000004bfff651
80a9000079291764 3ce080203d000008
419e001c7f85e800 60e700037d0903a6
7f66db783bff0001 392000013d404000
7fff07b47f83e378 7928f84278e70020
600000004bfff619 7d2900d0792907e0
2bbe00803bde0001 7d293838394a0004
2fbf0000409effc8 912afffc7d294278
4bfff6814200ffe4
3d00000860000000
7d0903a63ce08020
3d40400060e70003
392000013ba00000
7928f84278e70020
7d2900d0792907e0
7d2942787d293838
7f884840810a0000
3bbd0001419e000c
394a00047fbd07b4
2fbd00004200ffd4
3c62ffff419e001c 3c62ffff419e001c
7fe4fb7838a00100 7fa4eb783ca00008
4bfff5ed38637dc0 4bfff59d38637e28
3c62ffff60000000 3920200060000000
38637de83fc2ffff 7d2903a639400000
4bfff5d53bde7e00 794800203d2a1000
3d40000860000000 394a000139290002
7d4903a63d204000 9109000079291764
3948000139000001 4bfff5e14200ffe8
7948002039290004 3920200060000000
4200fff09149fffc 7d2903a639400000
600000004bfff61d 3d2a10003bc00000
392000003d400008
38c000017d4903a6
394600013d091000
7d2407b479081764
80a8000079460020
419e001c7f8a2840
4bfff56d7fc3f378
3860271060000000
4bffff904bfff84d
4200ffc439290001
38637e203c62ffff
600000004bfff549
3940000039202000
3d2a10007d2903a6
3929000279480020
79291764394a0001
4200ffe891090000
600000004bfff58d
3ba000003f82ffff
3b9c7e383bc00000
7fa607b43d3d1000
8129000879291764 8129000879291764
7f8930005529043e 7f8950005529043e
419e001c7d2507b4 3bde0001419e000c
7cc433783bde0001 394a00017fde07b4
7fde07b47f83e378 2fbe00004200ffdc
600000004bfff4d1
2bbd20003bbd0001
2fbe0000409effc0
3c62ffff419e001c 3c62ffff419e001c
7fc4f37838a02000 7fc4f37838a02000
4bfff4a538637e58 4bfff51538637e50
7ffff21460000000 7fffea1460000000
2f9f000038600000 7ffff21438600000
3c62ffff409e00a8 409e00a42f9f0000
4bfff48538637e80 38637e783c62ffff
7c9602a660000000 600000004bfff4f1
788400203d400008 3d4000047c9602a6
392000007d4903a6 7d4903a678840020
792800203d491000 3d49080039200000
39290001794a1764 f92a0000794a1f24
4200ffec910a0000 4200fff039290001
7c9f20507ff602a6 7c9f20507ff602a6
7fff23963fe06400 7fff23963fe06400
600000004bfff4b5 600000004bfff525
7d3602a67bff0020 7d3602a67bff0020
792900203d000008 792900203d000004
3d4040007d0903a6 3d4040007d0903a6
394a0004810a0000 394a0008e90a0000
7cb602a64200fff8 7cb602a64200fff8
3ca064007d254850 3ca064007d254850
3c62ffff7ca54b96 3c62ffff7ca54b96
38637e907fe4fb78 38637e887fe4fb78
4bfff3f578a50060 4bfff46578a50060
3860000160000000 3860000160000000
4800118c38210090 4800119438210080
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000380
7c0802a6384295cc 7c0802a63842953c
480010e938600000 f821fec1480010ed
3fe0c010f821fec1 3bc000013fe0c010
63ff00283bc00001 7bff002063ff0014
4bfff6c17bff0020 386000004bfffc49
7fc0ff2a7c0004ac 7c0004ac4bfff72d
639c00403f80c010 3f80c0107fc0ff2a
7c0004ac7b9c0020 7b9c0020639c0020
3ba000007fc0e72a 7fc0e72a7c0004ac
7c0004ac3ba00000
386000017fa0ff2a
392000024bfff6fd
7d20ff2a7c0004ac
7fc0e72a7c0004ac
7fa0ff2a7c0004ac 7fa0ff2a7c0004ac
4bfff69138600001 3b8100703c62ffff
7c0004ac39200002 3e02ffff38637f40
7c0004ac7d20ff2a 600000004bfff3b9
7c0004ac7fc0e72a fb8100803d22ffff
3c62ffff7fa0ff2a 39297f503de2ffff
38637f483b810070 3e42ffff3dc2ffff
4bfff34d3e02ffff f92100983ae10063
3d22ffff60000000 3be000003ac10061
3de2fffffb810080 39ef7f603a107ee0
3dc2ffff39297f58 3a527f2039ce7f68
3ae100633e42ffff 3b20000139210064
3ac10061f9210098 3ea0c0103e80c010
3a107ee83be00000 39210068f9210088
39ce7f7039ef7f68 6294080c7f39f830
392100643a527f28 7b33002062b50810
3e80c0103b200001 f92100903bc00000
f92100883ea0c010 3ba000003b000000
7f39f83039210068 7ab500207a940020
62b5082062940818 7fbeeb7848000054
3bc000007b330020 419e029c2f9d000f
3b000000f9210090 612900143d20c010
7a9400203ba00000
480000547ab50020
2f9d000f7fbeeb78
3d20c010419e029c
7929002061290028
7e604f2a7c0004ac
394000013d00c010
7908002061080048
7d40472a7c0004ac
7c0004ac39400000
3bbd00017d404f2a
7fbd07b47f78db78
3900000439410060
7d5a53783920002a
38c0000038e00004
3ca080207ce903a6
60a500037927f842
7d2900d0792907e0
7d29283878a50020
78e900207d273a78
38c600017cea31ae
3908ffff4200ffd4
79080021394a0004
3b6000004082ffb8
7f60a72a7c0004ac
7f60af2a7c0004ac
4bfff4b538600009
4bfff4e93860000f
7f44d3783c60c010
7863002060630828
e88100884bfff5d5
606308583c60c010
4bfff5c178630020
3c60c010e8810090
7863002060630888
3c60c0104bfff5ad
606308b83881006c
4bfff59978630020
612908a83d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107f604f2a 3d00c0107e604f2a
79290020612908b0 6108002439400001
7c0004ac79080020
394000007d40472a
7d404f2a7c0004ac
7f78db783bbd0001
394100607fbd07b4
3920002a39000004
38e000047d5a5378
7ce903a638c00000
7927f8423ca08020
792907e060a50003
78a500207d2900d0
7d273a787d292838
7cea31ae78e90020
4200ffd438c60001
394a00043908ffff
4082ffb879080021
7c0004ac3b600000
7c0004ac7f60a72a
386000097f60af2a
3860000f4bfff521
3c60c0104bfff555
606308147f44d378
4bfff64178630020
3c60c010e8810088
786300206063082c
e88100904bfff62d
606308443c60c010
4bfff61978630020
3881006c3c60c010
786300206063085c
3d20c0104bfff605
7929002061290854
7f604f2a7c0004ac 7f604f2a7c0004ac
392000173d40c010 612908583d20c010
794a0020614a0898
7d20572a7c0004ac
392000013d40c010
794a0020614a08a0
7d20572a7c0004ac
612908783d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107f604f2a 3d40c0107f604f2a
7929002061290880 614a084c39200017
7c0004ac794a0020
3d40c0107d20572a
614a085039200001
7c0004ac794a0020
3d20c0107d20572a
792900206129083c
7f604f2a7c0004ac 7f604f2a7c0004ac
7fa5eb78e8610098 612908403d20c010
3b4000207fe4fb78 7c0004ac79290020
4bfff1153b600000 e86100987f604f2a
7fe3fb7860000000 7fe4fb787fa5eb78
4bfff4b14bfff41d 3b6000003b400020
3a2000013860000f 600000004bfff181
394000004bfff3e5 4bfff4897fe3fb78
e881008079480fa4 3860000f4bfff51d
7c70402af94100a0 4bfff4513a200001
e94100a04bfff519 79480fa439400000
7d1650ae88fc0001 f94100a0e8810080
409e00a07f883800 4bfff5857c70402a
88fc00037d1750ae 88fc0001e94100a0
409e00907f883800 7f8838007d1650ae
2baa0010394a0004 7d1750ae409e00a0
7e248b78409effc0 7f88380088fc0003
4bfff0a57de37b78 394a0004409e0090
3b5affff60000000 409effc02baa0010
4bfff3f57fe3fb78 7de37b787e248b78
7f7b8a147b5a0021 600000004bfff111
4082ff807f7b07b4 7fe3fb783b5affff
4bfff07d7dc37378 7b5a00214bfff461
3920000060000000 7f7b07b47f7b8a14
7d20a72a7c0004ac 7dc373784082ff80
7d20af2a7c0004ac 600000004bfff0e9
4bfff30d3860000b 7c0004ac39200000
4bfff3413860000f 7c0004ac7d20a72a
4bfff4c57fe3fb78 3860000b7d20af2a
4bfff0457e439378 3860000f4bfff379
7f98d80060000000 7fe3fb784bfff3ad
7f1bc378419cfd70 7e4393784bfff531
3a2000004bfffd6c 600000004bfff0b1
3c62ffff4bffff70 419cfd707f98d800
7fe4fb787fc5f378 4bfffd6c7f1bc378
4bfff01538637f78 4bffff703a200000
3d20c01060000000 7fc5f3783c62ffff
7929002061290028 38637f707fe4fb78
7f204f2a7c0004ac 600000004bfff081
394000013d00c010 612900143d20c010
7908002061080040 7c0004ac79290020
7d40472a7c0004ac 3d00c0107f204f2a
7c0004ac39400000 6108002039400001
7bde00207d404f2a 7c0004ac79080020
38de00013d00c010 394000007d40472a
7cc903a661080048 7d404f2a7c0004ac
7908002039400001 3d00c0107bde0020
4200003438e00000 6108002438de0001
3af7ffff7fe3fb78 394000017cc903a6
7e4393784bfff421 38e0000079080020
4bffef9d3b9cffff 7fe3fb7842000034
2f9f000160000000 4bfff48d3af7ffff
419e00283ad6ffff 3b9cffff7e439378
4bfffc783be00001 600000004bfff009
7e604f2a7c0004ac 3ad6ffff2f9f0001
7d40472a7c0004ac 3be00001419e0028
7ce04f2a7c0004ac 7c0004ac4bfffc78
382101404bffffb4 7c0004ac7e604f2a
48000cd038600001 7c0004ac7d40472a
0100000000000000 4bffffb47ce04f2a
3c4c000100001280 3860000138210140
7c0802a638429144 0000000048000ccc
38637f303c62ffff 0000128001000000
f821ff7148000c8d 384290b03c4c0001
3be000003f60c010 3c62ffff7c0802a6
7b7b0020637b1000 48000c8938637f28
600000004bffef21 3f60c010f821ff71
7fe0df2a7c0004ac 637b10003be00000
635a10083f40c010 4bffef8d7b7b0020
7c0004ac7b5a0020 7c0004ac60000000
3fa0c0107fe0d72a 3f40c0107fe0df2a
63bd08184bfff721 7b5a0020635a1004
7fe0d72a7c0004ac
63bd080c3fa0c010
7c0004ac7bbd0020 7c0004ac7bbd0020
3fc0c0107fe0ef2a 3fc0c0107fe0ef2a
7bde002063de0820 7bde002063de0810
7fe0f72a7c0004ac 7fe0f72a7c0004ac
3920000c3f80c010 3920000c3f80c010
7b9c0020639c0800 7b9c0020639c0800
7d20e72a7c0004ac 7d20e72a7c0004ac
6063c35038600000 6063c35038600000
7c0004ac4bfff19d 7c0004ac4bfff20d
7c0004ac7fe0ef2a 7c0004ac7fe0ef2a
3920000e7fe0f72a 3920000e7fe0f72a
7d20e72a7c0004ac 7d20e72a7c0004ac
4bfff17938602710 4bfff1e938602710
7c0004ac39200200 7c0004ac39200200
392000027d20ef2a 392000027d20ef2a
7d20f72a7c0004ac 7d20f72a7c0004ac
4bfff11d3860000f 4bfff18d3860000f
7fe0ef2a7c0004ac 7fe0ef2a7c0004ac
7c0004ac39200003 7c0004ac39200003
3860000f7d20f72a 3860000f7d20f72a
392000064bfff101 392000064bfff171
7d20ef2a7c0004ac 7d20ef2a7c0004ac
7c0004ac3b800001 7c0004ac3b800001
3860000f7f80f72a 3860000f7f80f72a
392009204bfff0e1 392009204bfff151
7d20ef2a7c0004ac 7d20ef2a7c0004ac
7fe0f72a7c0004ac 7fe0f72a7c0004ac
4bfff0c53860000f 4bfff1353860000f
4bfff0f9386000c8 4bfff169386000c8
7c0004ac39200400 7c0004ac39200400
7c0004ac7d20ef2a 7c0004ac7d20ef2a
386000037fe0f72a 386000037fe0f72a
386000c84bfff0a1 386000c84bfff111
4bfffa194bfff0d5 4bfffa194bfff145
4bfff6b54bfff661 4bfff7254bfff6d1
4082001c2c230000 4082001c2c230000
7f80df2a7c0004ac 7f80df2a7c0004ac
7f80d72a7c0004ac 7f80d72a7c0004ac
@ -1100,27 +1086,27 @@ f821ff7148000c8d
4bffffec38600001 4bffffec38600001
0100000000000000 0100000000000000
3c4c000100000680 3c4c000100000680
3d20c00038428f94 3d20c00038428f04
6129200060000000 6129200060000000
f922801079290020 f922800879290020
612900203d20c000 612900203d20c000
7c0004ac79290020 7c0004ac79290020
3d40001c7d204eea 3d40001c7d204eea
7d295392614a2000 7d295392614a2000
394a0018e9428010 394a0018e9428008
7c0004ac3929ffff 7c0004ac3929ffff
4e8000207d2057ea 4e8000207d2057ea
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
6000000038428f34 6000000038428ea4
39290010e9228010 39290010e9228008
7d204eea7c0004ac 7d204eea7c0004ac
4082ffe871290008 4082ffe871290008
e94280105469063e e94280085469063e
7d2057ea7c0004ac 7d2057ea7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428ef03c4c0001 38428e603c4c0001
fbc1fff07c0802a6 fbc1fff07c0802a6
3bc3fffffbe1fff8 3bc3fffffbe1fff8
f821ffd1f8010010 f821ffd1f8010010
@ -1194,7 +1180,7 @@ f924000039290002
7c6307b43863ffe0 7c6307b43863ffe0
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428ca03c4c0001 38428c103c4c0001
3d2037367c0802a6 3d2037367c0802a6
612935347d908026 612935347d908026
65293332792907c6 65293332792907c6
@ -1228,7 +1214,7 @@ fbfd00007fe9fa14
4bfffff07d29f392 4bfffff07d29f392
0300000000000000 0300000000000000
3c4c000100000580 3c4c000100000580
7c0802a638428b94 7c0802a638428b04
f821ffb1480006e9 f821ffb1480006e9
7c7f1b78eb630000 7c7f1b78eb630000
7cbd2b787c9c2378 7cbd2b787c9c2378
@ -1244,7 +1230,7 @@ f821ffb1480006e9
4bffffb8f93f0000 4bffffb8f93f0000
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000580
7c0802a638428b14 7c0802a638428a84
f821ffa148000661 f821ffa148000661
7c9b23787c7d1b78 7c9b23787c7d1b78
388000007ca32b78 388000007ca32b78
@ -1275,7 +1261,7 @@ e95d00009b270000
f95d0000394a0001 f95d0000394a0001
000000004bffffa8 000000004bffffa8
0000078001000000 0000078001000000
38428a183c4c0001 384289883c4c0001
480005397c0802a6 480005397c0802a6
7c741b79f821fed1 7c741b79f821fed1
38600000f8610060 38600000f8610060
@ -1284,7 +1270,7 @@ f95d0000394a0001
3ac4ffff3e42ffff 3ac4ffff3e42ffff
f92100703b410020 f92100703b410020
3ae0000060000000 3ae0000060000000
3a527fc039228008 3a527fb839228000
f92100783ba10060 f92100783ba10060
ebc1006089250000 ebc1006089250000
419e00102fa90000 419e00102fa90000
@ -1497,9 +1483,9 @@ e8010010ebc1fff0
203a4b4c43202020 203a4b4c43202020
7a484d20646c6c25 7a484d20646c6c25
000000000000000a 000000000000000a
6131333764343635 3163616539333236
0000000000000000 0000000000000000
0033306536316430 0039326232623162
4d4152446574694c 4d4152446574694c
6620746c69756220 6620746c69756220
6567694d206d6f72 6567694d206d6f72
@ -1516,35 +1502,16 @@ e8010010ebc1fff0
20676e69746f6f42 20676e69746f6f42
415244206d6f7266 415244206d6f7266
0000000a2e2e2e4d 0000000a2e2e2e4d
20747365746d656d
000a2e2e2e737562
7830203a7375625b
7830203a5d783025
2073762078383025
000a783830257830
257830207375625b
257830203a5d7830
3020737620783830
00000a7838302578
20747365746d654d 20747365746d654d
6c69616620737562 6c69616620737562
252f6425203a6465 252f6425203a6465
73726f7272652064 73726f7272652064
000000000000000a 000000000000000a
20747365746d656d 20747365746d654d
0a2e2e2e61746164 6961662061746164
0000000000000000 2f6425203a64656c
783020617461645b 726f727265206425
7830203a5d783025 0000000000000a73
2073762078383025
000a783830257830
20747365746d656d
0a2e2e2e72646461
0000000000000000
783020726464615b
7830203a5d783025
2073762078383025
000a783830257830
20747365746d654d 20747365746d654d
6961662072646461 6961662072646461
2f6425203a64656c 2f6425203a64656c
@ -1563,10 +1530,10 @@ e8010010ebc1fff0
000000000000002d 000000000000002d
30252d2b64323025 30252d2b64323025
0000000000006432 0000000000006432
00000000c0100818
00000000c0100830 00000000c0100830
00000000c0100848
00000000c0100860 00000000c0100860
00000000c0100890
00000000c01008c0
6f6e204d41524453 6f6e204d41524453
207265646e752077 207265646e752077
6572617764726168 6572617764726168

File diff suppressed because it is too large Load Diff

@ -510,7 +510,7 @@ a64b5a7d14004a39
0000000000000000 0000000000000000
0000000000000000 0000000000000000
0000000000000000 0000000000000000
3842a2003c4c0001 3842a1003c4c0001
fbc1fff07c0802a6 fbc1fff07c0802a6
f8010010fbe1fff8 f8010010fbe1fff8
3be10020f821fe91 3be10020f821fe91
@ -519,11 +519,11 @@ f8c101a838800140
38c101987c651b78 38c101987c651b78
7fe3fb78f8e101b0 7fe3fb78f8e101b0
f92101c0f90101b8 f92101c0f90101b8
480017a5f94101c8 48001735f94101c8
7c7e1b7860000000 7c7e1b7860000000
480012bd7fe3fb78 4800124d7fe3fb78
3821017060000000 3821017060000000
48001d647fc3f378 48001cf47fc3f378
0100000000000000 0100000000000000
4e80002000000280 4e80002000000280
0000000000000000 0000000000000000
@ -531,76 +531,76 @@ f92101c0f90101b8
4e8000204c00012c 4e8000204c00012c
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
7c0802a63842a15c 7c0802a63842a05c
7d800026fbe1fff8 7d800026fbe1fff8
91810008f8010010 91810008f8010010
480011b1f821ff91 48001141f821ff91
3c62ffff60000000 3c62ffff60000000
4bffff3538637be8 4bffff3538637c78
548400023880ffff 548400023880ffff
7c8026ea7c0004ac 7c8026ea7c0004ac
3fe0c0003c62ffff 3fe0c0003c62ffff
63ff000838637c08 63ff000838637c98
3c62ffff4bffff11 3c62ffff4bffff11
38637c287bff0020 38637cb87bff0020
7c0004ac4bffff01 7c0004ac4bffff01
73e900017fe0feea 73e900017fe0feea
3c62ffff41820010 3c62ffff41820010
4bfffee538637c40 4bfffee538637cd0
4d80000073e90002 4d80000073e90002
3c62ffff41820010 3c62ffff41820010
4bfffecd38637c48 4bfffecd38637cd8
4e00000073e90004 4e00000073e90004
3c62ffff41820010 3c62ffff41820010
4bfffeb538637c50 4bfffeb538637ce0
3bff7f283fe2ffff 3bff7f203fe2ffff
4bfffea57fe3fb78 4bfffea57fe3fb78
3c80c00041920028 3c80c00041920028
7884002060840010 7884002060840010
7c8026ea7c0004ac 7c8026ea7c0004ac
7884b2823c62ffff 7884b2823c62ffff
4bfffe7d38637c58 4bfffe7d38637ce8
3c80c000418e004c 3c80c000418e004c
7884002060840018 7884002060840018
7c8026ea7c0004ac 7c8026ea7c0004ac
788465023c62ffff 788465023c62ffff
4bfffe5538637c78 4bfffe5538637d08
608400303c80c000 608400303c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637c987884b282 38637d287884b282
3d20c0004bfffe31 3d20c0004bfffe31
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
3c62ffff3c80000f 3c62ffff3c80000f
38637cb860844240 38637d4860844240
4bfffe057c892392 4bfffe057c892392
4bfffdfd7fe3fb78 4bfffdfd7fe3fb78
3ca2ffff418e0028 3ca2ffff418e0028
3c62ffff3c82ffff 3c62ffff3c82ffff
38847ce838a57cd8 38847d7838a57d68
4bfffddd38637cf0 4bfffddd38637d80
6000000048000e95 6000000048000e29
3c62ffff41920020 3c62ffff41920020
4bfffdc538637d20 4bfffdc538637db0
8181000838210070 8181000838210070
48001b807d818120 48001b107d818120
38637d383c62ffff 38637dc83c62ffff
3c80f0004bfffda9 3c80f0004bfffda9
6084400038a0ffff 6084400038a0ffff
7884002054a50422 7884002054a50422
480012553c604000 480011e53c604000
3c62ffff60000000 3c62ffff60000000
4bfffd7d38637d58 4bfffd7d38637de8
e801001038210070 e801001038210070
ebe1fff881810008 ebe1fff881810008
7d8181207c0803a6 7d8181207c0803a6
000000004bfffde4 000000004bfffde4
0000018003000000 0000018003000000
612908083d20c010 612908043d20c010
7c0004ac79290020 7c0004ac79290020
3d40c0107c604f2a 3d40c0107c604f2a
614a081039200001 614a080839200001
7c0004ac794a0020 7c0004ac794a0020
4e8000207d20572a 4e8000207d20572a
0000000000000000 0000000000000000
@ -610,29 +610,29 @@ ebe1fff881810008
4e8000204200fffc 4e8000204200fffc
0000000000000000 0000000000000000
3d20c01000000000 3d20c01000000000
6129002839400001 6129001439400001
792900207d431830 792900207d431830
7c604f2a7c0004ac 7c604f2a7c0004ac
610800303d00c010 610800183d00c010
7c0004ac79080020 7c0004ac79080020
394000007d40472a 394000007d40472a
7d404f2a7c0004ac 7d404f2a7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
394000013d20c010 394000013d20c010
7d43183061290028 7d43183061290014
7c0004ac79290020 7c0004ac79290020
3d00c0107c604f2a 3d00c0107c604f2a
7908002061080038 790800206108001c
7d40472a7c0004ac 7d40472a7c0004ac
7c0004ac39400000 7c0004ac39400000
4e8000207d404f2a 4e8000207d404f2a
0000000000000000 0000000000000000
3d40c01000000000 3d40c01000000000
614a086839200025 614a083439200025
7c0004ac794a0020 7c0004ac794a0020
3d40c0107d20572a 3d40c0107d20572a
614a087039200001 614a083839200001
7c0004ac794a0020 7c0004ac794a0020
4e8000207d20572a 4e8000207d20572a
0000000000000000 0000000000000000
@ -653,10 +653,10 @@ ebe1fff881810008
9864000099240001 9864000099240001
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38429d883c4c0001 38429c883c4c0001
480018c57c0802a6 480018557c0802a6
7c7e1b78f821ff21 7c7e1b78f821ff21
38637ec03c62ffff 38637eb83c62ffff
600000004bfffb71 600000004bfffb71
390100603ca08020 390100603ca08020
3940000460a50003 3940000460a50003
@ -670,45 +670,45 @@ ebe1fff881810008
394affff4200ffe0 394affff4200ffe0
794a002139080004 794a002139080004
3d20c0104082ffc4 3d20c0104082ffc4
612908183be00000 6129080c3be00000
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
7929002061290820 7929002061290810
7fe04f2a7c0004ac 7fe04f2a7c0004ac
4bfffd8d38600009 4bfffd8d38600009
4bfffdc13860000f 4bfffdc13860000f
7fa4eb783c60c010 7fa4eb783c60c010
7863002060630828 7863002060630814
3c60c0104bfffead 3c60c0104bfffead
6063085838810064 6063082c38810064
4bfffe9978630020 4bfffe9978630020
388100683c60c010 388100683c60c010
7863002060630888 7863002060630844
3c60c0104bfffe85 3c60c0104bfffe85
606308b83881006c 6063085c3881006c
4bfffe7178630020 4bfffe7178630020
612908a83d20c010 612908543d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
79290020612908b0 7929002061290858
7fe04f2a7c0004ac 7fe04f2a7c0004ac
392000173d40c010 392000173d40c010
794a0020614a0898 794a0020614a084c
7d20572a7c0004ac 7d20572a7c0004ac
392000013d40c010 392000013d40c010
794a0020614a08a0 794a0020614a0850
7d20572a7c0004ac 7d20572a7c0004ac
612908783d20c010 6129083c3d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107fe04f2a 3d20c0107fe04f2a
7929002061290880 7929002061290840
7fe04f2a7c0004ac 7fe04f2a7c0004ac
22de00017fc3f378 22de00017fc3f378
213e00034bfffd0d 213e00034bfffd0d
793500203ee2ffff 793500203ee2ffff
7d2907b47ed607b4 7d2907b47ed607b4
3b0100703be00000 3b0100703be00000
7f3db2143af77ee8 7f3db2143af77ee0
7f5d4a147ebdaa14 7f5d4a147ebdaa14
3860000f4bfffd75 3860000f4bfffd75
4bfffca93b800000 4bfffca93b800000
@ -749,349 +749,335 @@ ebe1fff881810008
4bffffcc3b400000 4bffffcc3b400000
7fbfe2142f9f0020 7fbfe2142f9f0020
409e006c7fbd0e70 409e006c7fbd0e70
38637ed03c62ffff 38637ec83c62ffff
600000004bfff889 600000004bfff889
3be000007fc3f378 3be000007fc3f378
7f9fe8004bfffb8d 7f9fe8004bfffb8d
3d40c010419c0070 3d40c010419c0070
614a081839200000 614a080c39200000
7c0004ac794a0020 7c0004ac794a0020
3d40c0107d20572a 3d40c0107d20572a
794a0020614a0820 794a0020614a0810
7d20572a7c0004ac 7d20572a7c0004ac
4bfffaed3860000b 4bfffaed3860000b
4bfffb213860000f 4bfffb213860000f
480015bc382100e0 4800154c382100e0
3c62ffff7cbfe050 3c62ffff7cbfe050
7ca501947ca50e70 7ca501947ca50e70
38637ed87fa4eb78 38637ed07fa4eb78
4bfff80d7ca507b4 4bfff80d7ca507b4
4bffff8460000000 4bffff8460000000
3bff00017fc3f378 3bff00017fc3f378
7fff07b44bfffb59 7fff07b44bfffb59
000000004bffff7c 000000004bffff7c
00000b8001000000 00000b8001000000
384299d83c4c0001 384298d83c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
3920000e614a0800 3920000e614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff7ad38637f98 4bfff7ad38637f90
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384299803c4c0001 384298803c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
39200001614a0800 39200001614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff75538637f08 4bfff75538637f00
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384299283c4c0001 384298283c4c0001
3c62ffff7c0802a6 390000807c0802a6
4800147538637d70 3d40aaaa7d0903a6
4bfff715f821ff71
3900008060000000
7d0903a63d40aaaa
614aaaaa3d204000 614aaaaa3d204000
f821ff8148001401
3929000491490000 3929000491490000
3f60aaaa4200fff8 4bfff7714200fff8
600000004bfff75d 3940008060000000
3f82ffff3fa0aaaa 7d4903a63d00aaaa
3bc00000637baaaa 3be000003d204000
63bdaaaa3be00000 814900006108aaaa
7b7b00203b9c7d80 419e000c7f8a4000
7fc407b43d3e1000 7fff07b43bff0001
80a9000079291764 4200ffe839290004
419e001c7f85e800 3d40555539000080
7f66db783bff0001 3d2040007d0903a6
7fff07b47f83e378 91490000614a5555
600000004bfff699 4200fff839290004
2bbe00803bde0001 600000004bfff715
39000080409effc8 3d00555539400080
7d0903a63d405555 3d2040007d4903a6
614a55553d204000 8149000061085555
3929000491490000 419e000c7f8a4000
4bfff6d94200fff8 7fff07b43bff0001
3fa0555560000000 4200ffe839290004
3f6055553f82ffff 419e001c2fbf0000
63bd55553bc00000 38a001003c62ffff
637b55553b9c7da0 38637e007fe4fb78
7fc407b43d3e1000 600000004bfff651
80a9000079291764 3ce080203d000008
419e001c7f85e800 60e700037d0903a6
7f66db783bff0001 392000013d404000
7fff07b47f83e378 7928f84278e70020
600000004bfff619 7d2900d0792907e0
2bbe00803bde0001 7d293838394a0004
2fbf0000409effc8 912afffc7d294278
4bfff6814200ffe4
3d00000860000000
7d0903a63ce08020
3d40400060e70003
392000013ba00000
7928f84278e70020
7d2900d0792907e0
7d2942787d293838
7f884840810a0000
3bbd0001419e000c
394a00047fbd07b4
2fbd00004200ffd4
3c62ffff419e001c 3c62ffff419e001c
7fe4fb7838a00100 7fa4eb783ca00008
4bfff5ed38637dc0 4bfff59d38637e28
3c62ffff60000000 3920200060000000
38637de83fc2ffff 7d2903a639400000
4bfff5d53bde7e00 794800203d2a1000
3d40000860000000 394a000139290002
7d4903a63d204000 9109000079291764
3948000139000001 4bfff5e14200ffe8
7948002039290004 3920200060000000
4200fff09149fffc 7d2903a639400000
600000004bfff61d 3d2a10003bc00000
392000003d400008
38c000017d4903a6
394600013d091000
7d2407b479081764
80a8000079460020
419e001c7f8a2840
4bfff56d7fc3f378
3860271060000000
4bffff904bfff84d
4200ffc439290001
38637e203c62ffff
600000004bfff549
3940000039202000
3d2a10007d2903a6
3929000279480020
79291764394a0001
4200ffe891090000
600000004bfff58d
3ba000003f82ffff
3b9c7e383bc00000
7fa607b43d3d1000
8129000879291764 8129000879291764
7f8930005529043e 7f8950005529043e
419e001c7d2507b4 3bde0001419e000c
7cc433783bde0001 394a00017fde07b4
7fde07b47f83e378 2fbe00004200ffdc
600000004bfff4d1
2bbd20003bbd0001
2fbe0000409effc0
3c62ffff419e001c 3c62ffff419e001c
7fc4f37838a02000 7fc4f37838a02000
4bfff4a538637e58 4bfff51538637e50
7ffff21460000000 7fffea1460000000
2f9f000038600000 7ffff21438600000
3c62ffff409e00a8 409e00a42f9f0000
4bfff48538637e80 38637e783c62ffff
7c9602a660000000 600000004bfff4f1
788400203d400008 3d4000047c9602a6
392000007d4903a6 7d4903a678840020
792800203d491000 3d49080039200000
39290001794a1764 f92a0000794a1f24
4200ffec910a0000 4200fff039290001
7c9f20507ff602a6 7c9f20507ff602a6
7fff23963fe06400 7fff23963fe06400
600000004bfff4b5 600000004bfff525
7d3602a67bff0020 7d3602a67bff0020
792900203d000008 792900203d000004
3d4040007d0903a6 3d4040007d0903a6
394a0004810a0000 394a0008e90a0000
7cb602a64200fff8 7cb602a64200fff8
3ca064007d254850 3ca064007d254850
3c62ffff7ca54b96 3c62ffff7ca54b96
38637e907fe4fb78 38637e887fe4fb78
4bfff3f578a50060 4bfff46578a50060
3860000160000000 3860000160000000
4800118c38210090 4800119438210080
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000380
7c0802a6384295cc 7c0802a63842953c
480010e938600000 f821fec1480010ed
3fe0c010f821fec1 3bc000013fe0c010
63ff00283bc00001 7bff002063ff0014
4bfff6c17bff0020 386000004bfffc49
7fc0ff2a7c0004ac 7c0004ac4bfff72d
639c00403f80c010 3f80c0107fc0ff2a
7c0004ac7b9c0020 7b9c0020639c0020
3ba000007fc0e72a 7fc0e72a7c0004ac
7c0004ac3ba00000
386000017fa0ff2a
392000024bfff6fd
7d20ff2a7c0004ac
7fc0e72a7c0004ac
7fa0ff2a7c0004ac 7fa0ff2a7c0004ac
4bfff69138600001 3b8100703c62ffff
7c0004ac39200002 3e02ffff38637f40
7c0004ac7d20ff2a 600000004bfff3b9
7c0004ac7fc0e72a fb8100803d22ffff
3c62ffff7fa0ff2a 39297f503de2ffff
38637f483b810070 3e42ffff3dc2ffff
4bfff34d3e02ffff f92100983ae10063
3d22ffff60000000 3be000003ac10061
3de2fffffb810080 39ef7f603a107ee0
3dc2ffff39297f58 3a527f2039ce7f68
3ae100633e42ffff 3b20000139210064
3ac10061f9210098 3ea0c0103e80c010
3a107ee83be00000 39210068f9210088
39ce7f7039ef7f68 6294080c7f39f830
392100643a527f28 7b33002062b50810
3e80c0103b200001 f92100903bc00000
f92100883ea0c010 3ba000003b000000
7f39f83039210068 7ab500207a940020
62b5082062940818 7fbeeb7848000054
3bc000007b330020 419e029c2f9d000f
3b000000f9210090 612900143d20c010
7a9400203ba00000
480000547ab50020
2f9d000f7fbeeb78
3d20c010419e029c
7929002061290028
7e604f2a7c0004ac
394000013d00c010
7908002061080048
7d40472a7c0004ac
7c0004ac39400000
3bbd00017d404f2a
7fbd07b47f78db78
3900000439410060
7d5a53783920002a
38c0000038e00004
3ca080207ce903a6
60a500037927f842
7d2900d0792907e0
7d29283878a50020
78e900207d273a78
38c600017cea31ae
3908ffff4200ffd4
79080021394a0004
3b6000004082ffb8
7f60a72a7c0004ac
7f60af2a7c0004ac
4bfff4b538600009
4bfff4e93860000f
7f44d3783c60c010
7863002060630828
e88100884bfff5d5
606308583c60c010
4bfff5c178630020
3c60c010e8810090
7863002060630888
3c60c0104bfff5ad
606308b83881006c
4bfff59978630020
612908a83d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107f604f2a 3d00c0107e604f2a
79290020612908b0 6108002439400001
7c0004ac79080020
394000007d40472a
7d404f2a7c0004ac
7f78db783bbd0001
394100607fbd07b4
3920002a39000004
38e000047d5a5378
7ce903a638c00000
7927f8423ca08020
792907e060a50003
78a500207d2900d0
7d273a787d292838
7cea31ae78e90020
4200ffd438c60001
394a00043908ffff
4082ffb879080021
7c0004ac3b600000
7c0004ac7f60a72a
386000097f60af2a
3860000f4bfff521
3c60c0104bfff555
606308147f44d378
4bfff64178630020
3c60c010e8810088
786300206063082c
e88100904bfff62d
606308443c60c010
4bfff61978630020
3881006c3c60c010
786300206063085c
3d20c0104bfff605
7929002061290854
7f604f2a7c0004ac 7f604f2a7c0004ac
392000173d40c010 612908583d20c010
794a0020614a0898
7d20572a7c0004ac
392000013d40c010
794a0020614a08a0
7d20572a7c0004ac
612908783d20c010
7c0004ac79290020 7c0004ac79290020
3d20c0107f604f2a 3d40c0107f604f2a
7929002061290880 614a084c39200017
7c0004ac794a0020
3d40c0107d20572a
614a085039200001
7c0004ac794a0020
3d20c0107d20572a
792900206129083c
7f604f2a7c0004ac 7f604f2a7c0004ac
7fa5eb78e8610098 612908403d20c010
3b4000207fe4fb78 7c0004ac79290020
4bfff1153b600000 e86100987f604f2a
7fe3fb7860000000 7fe4fb787fa5eb78
4bfff4b14bfff41d 3b6000003b400020
3a2000013860000f 600000004bfff181
394000004bfff3e5 4bfff4897fe3fb78
e881008079480fa4 3860000f4bfff51d
7c70402af94100a0 4bfff4513a200001
e94100a04bfff519 79480fa439400000
7d1650ae88fc0001 f94100a0e8810080
409e00a07f883800 4bfff5857c70402a
88fc00037d1750ae 88fc0001e94100a0
409e00907f883800 7f8838007d1650ae
2baa0010394a0004 7d1750ae409e00a0
7e248b78409effc0 7f88380088fc0003
4bfff0a57de37b78 394a0004409e0090
3b5affff60000000 409effc02baa0010
4bfff3f57fe3fb78 7de37b787e248b78
7f7b8a147b5a0021 600000004bfff111
4082ff807f7b07b4 7fe3fb783b5affff
4bfff07d7dc37378 7b5a00214bfff461
3920000060000000 7f7b07b47f7b8a14
7d20a72a7c0004ac 7dc373784082ff80
7d20af2a7c0004ac 600000004bfff0e9
4bfff30d3860000b 7c0004ac39200000
4bfff3413860000f 7c0004ac7d20a72a
4bfff4c57fe3fb78 3860000b7d20af2a
4bfff0457e439378 3860000f4bfff379
7f98d80060000000 7fe3fb784bfff3ad
7f1bc378419cfd70 7e4393784bfff531
3a2000004bfffd6c 600000004bfff0b1
3c62ffff4bffff70 419cfd707f98d800
7fe4fb787fc5f378 4bfffd6c7f1bc378
4bfff01538637f78 4bffff703a200000
3d20c01060000000 7fc5f3783c62ffff
7929002061290028 38637f707fe4fb78
7f204f2a7c0004ac 600000004bfff081
394000013d00c010 612900143d20c010
7908002061080040 7c0004ac79290020
7d40472a7c0004ac 3d00c0107f204f2a
7c0004ac39400000 6108002039400001
7bde00207d404f2a 7c0004ac79080020
38de00013d00c010 394000007d40472a
7cc903a661080048 7d404f2a7c0004ac
7908002039400001 3d00c0107bde0020
4200003438e00000 6108002438de0001
3af7ffff7fe3fb78 394000017cc903a6
7e4393784bfff421 38e0000079080020
4bffef9d3b9cffff 7fe3fb7842000034
2f9f000160000000 4bfff48d3af7ffff
419e00283ad6ffff 3b9cffff7e439378
4bfffc783be00001 600000004bfff009
7e604f2a7c0004ac 3ad6ffff2f9f0001
7d40472a7c0004ac 3be00001419e0028
7ce04f2a7c0004ac 7c0004ac4bfffc78
382101404bffffb4 7c0004ac7e604f2a
48000cd038600001 7c0004ac7d40472a
0100000000000000 4bffffb47ce04f2a
3c4c000100001280 3860000138210140
7c0802a638429144 0000000048000ccc
38637f303c62ffff 0000128001000000
f821ff7148000c8d 384290b03c4c0001
3be000003f60c010 3c62ffff7c0802a6
7b7b0020637b1000 48000c8938637f28
600000004bffef21 3f60c010f821ff71
7fe0df2a7c0004ac 637b10003be00000
635a10083f40c010 4bffef8d7b7b0020
7c0004ac7b5a0020 7c0004ac60000000
3fa0c0107fe0d72a 3f40c0107fe0df2a
63bd08184bfff721 7b5a0020635a1004
7fe0d72a7c0004ac
63bd080c3fa0c010
7c0004ac7bbd0020 7c0004ac7bbd0020
3fc0c0107fe0ef2a 3fc0c0107fe0ef2a
7bde002063de0820 7bde002063de0810
7fe0f72a7c0004ac 7fe0f72a7c0004ac
3920000c3f80c010 3920000c3f80c010
7b9c0020639c0800 7b9c0020639c0800
7d20e72a7c0004ac 7d20e72a7c0004ac
6063c35038600000 6063c35038600000
7c0004ac4bfff19d 7c0004ac4bfff20d
7c0004ac7fe0ef2a 7c0004ac7fe0ef2a
3920000e7fe0f72a 3920000e7fe0f72a
7d20e72a7c0004ac 7d20e72a7c0004ac
4bfff17938602710 4bfff1e938602710
7c0004ac39200200 7c0004ac39200200
392000027d20ef2a 392000027d20ef2a
7d20f72a7c0004ac 7d20f72a7c0004ac
4bfff11d3860000f 4bfff18d3860000f
7fe0ef2a7c0004ac 7fe0ef2a7c0004ac
7c0004ac39200003 7c0004ac39200003
3860000f7d20f72a 3860000f7d20f72a
392000064bfff101 392000064bfff171
7d20ef2a7c0004ac 7d20ef2a7c0004ac
7c0004ac3b800001 7c0004ac3b800001
3860000f7f80f72a 3860000f7f80f72a
392009204bfff0e1 392009204bfff151
7d20ef2a7c0004ac 7d20ef2a7c0004ac
7fe0f72a7c0004ac 7fe0f72a7c0004ac
4bfff0c53860000f 4bfff1353860000f
4bfff0f9386000c8 4bfff169386000c8
7c0004ac39200400 7c0004ac39200400
7c0004ac7d20ef2a 7c0004ac7d20ef2a
386000037fe0f72a 386000037fe0f72a
386000c84bfff0a1 386000c84bfff111
4bfffa194bfff0d5 4bfffa194bfff145
4bfff6b54bfff661 4bfff7254bfff6d1
4082001c2c230000 4082001c2c230000
7f80df2a7c0004ac 7f80df2a7c0004ac
7f80d72a7c0004ac 7f80d72a7c0004ac
@ -1100,27 +1086,27 @@ f821ff7148000c8d
4bffffec38600001 4bffffec38600001
0100000000000000 0100000000000000
3c4c000100000680 3c4c000100000680
3d20c00038428f94 3d20c00038428f04
6129200060000000 6129200060000000
f922801079290020 f922800879290020
612900203d20c000 612900203d20c000
7c0004ac79290020 7c0004ac79290020
3d40001c7d204eea 3d40001c7d204eea
7d295392614a2000 7d295392614a2000
394a0018e9428010 394a0018e9428008
7c0004ac3929ffff 7c0004ac3929ffff
4e8000207d2057ea 4e8000207d2057ea
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
6000000038428f34 6000000038428ea4
39290010e9228010 39290010e9228008
7d204eea7c0004ac 7d204eea7c0004ac
4082ffe871290008 4082ffe871290008
e94280105469063e e94280085469063e
7d2057ea7c0004ac 7d2057ea7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428ef03c4c0001 38428e603c4c0001
fbc1fff07c0802a6 fbc1fff07c0802a6
3bc3fffffbe1fff8 3bc3fffffbe1fff8
f821ffd1f8010010 f821ffd1f8010010
@ -1194,7 +1180,7 @@ f924000039290002
7c6307b43863ffe0 7c6307b43863ffe0
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428ca03c4c0001 38428c103c4c0001
3d2037367c0802a6 3d2037367c0802a6
612935347d908026 612935347d908026
65293332792907c6 65293332792907c6
@ -1228,7 +1214,7 @@ fbfd00007fe9fa14
4bfffff07d29f392 4bfffff07d29f392
0300000000000000 0300000000000000
3c4c000100000580 3c4c000100000580
7c0802a638428b94 7c0802a638428b04
f821ffb1480006e9 f821ffb1480006e9
7c7f1b78eb630000 7c7f1b78eb630000
7cbd2b787c9c2378 7cbd2b787c9c2378
@ -1244,7 +1230,7 @@ f821ffb1480006e9
4bffffb8f93f0000 4bffffb8f93f0000
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000580
7c0802a638428b14 7c0802a638428a84
f821ffa148000661 f821ffa148000661
7c9b23787c7d1b78 7c9b23787c7d1b78
388000007ca32b78 388000007ca32b78
@ -1275,7 +1261,7 @@ e95d00009b270000
f95d0000394a0001 f95d0000394a0001
000000004bffffa8 000000004bffffa8
0000078001000000 0000078001000000
38428a183c4c0001 384289883c4c0001
480005397c0802a6 480005397c0802a6
7c741b79f821fed1 7c741b79f821fed1
38600000f8610060 38600000f8610060
@ -1284,7 +1270,7 @@ f95d0000394a0001
3ac4ffff3e42ffff 3ac4ffff3e42ffff
f92100703b410020 f92100703b410020
3ae0000060000000 3ae0000060000000
3a527fc039228008 3a527fb839228000
f92100783ba10060 f92100783ba10060
ebc1006089250000 ebc1006089250000
419e00102fa90000 419e00102fa90000
@ -1497,9 +1483,9 @@ e8010010ebc1fff0
203a4b4c43202020 203a4b4c43202020
7a484d20646c6c25 7a484d20646c6c25
000000000000000a 000000000000000a
6131333764343635 3163616539333236
0000000000000000 0000000000000000
0033306536316430 0039326232623162
4d4152446574694c 4d4152446574694c
6620746c69756220 6620746c69756220
6567694d206d6f72 6567694d206d6f72
@ -1516,35 +1502,16 @@ e8010010ebc1fff0
20676e69746f6f42 20676e69746f6f42
415244206d6f7266 415244206d6f7266
0000000a2e2e2e4d 0000000a2e2e2e4d
20747365746d656d
000a2e2e2e737562
7830203a7375625b
7830203a5d783025
2073762078383025
000a783830257830
257830207375625b
257830203a5d7830
3020737620783830
00000a7838302578
20747365746d654d 20747365746d654d
6c69616620737562 6c69616620737562
252f6425203a6465 252f6425203a6465
73726f7272652064 73726f7272652064
000000000000000a 000000000000000a
20747365746d656d 20747365746d654d
0a2e2e2e61746164 6961662061746164
0000000000000000 2f6425203a64656c
783020617461645b 726f727265206425
7830203a5d783025 0000000000000a73
2073762078383025
000a783830257830
20747365746d656d
0a2e2e2e72646461
0000000000000000
783020726464615b
7830203a5d783025
2073762078383025
000a783830257830
20747365746d654d 20747365746d654d
6961662072646461 6961662072646461
2f6425203a64656c 2f6425203a64656c
@ -1563,10 +1530,10 @@ e8010010ebc1fff0
000000000000002d 000000000000002d
30252d2b64323025 30252d2b64323025
0000000000006432 0000000000006432
00000000c0100818
00000000c0100830 00000000c0100830
00000000c0100848
00000000c0100860 00000000c0100860
00000000c0100890
00000000c01008c0
6f6e204d41524453 6f6e204d41524453
207265646e752077 207265646e752077
6572617764726168 6572617764726168

File diff suppressed because it is too large Load Diff

@ -48,7 +48,6 @@ architecture rtl of dram_init_mem is


impure function init_load_ram(name : string) return ram_t is impure function init_load_ram(name : string) return ram_t is
file ram_file : text open read_mode is name; file ram_file : text open read_mode is name;
file payload_file : text open read_mode is EXTRA_PAYLOAD_FILE;
variable temp_word : std_logic_vector(63 downto 0); variable temp_word : std_logic_vector(63 downto 0);
variable temp_ram : ram_t := (others => (others => '0')); variable temp_ram : ram_t := (others => (others => '0'));
variable ram_line : line; variable ram_line : line;
@ -66,7 +65,7 @@ architecture rtl of dram_init_mem is
temp_ram(i*2+1) := temp_word(63 downto 32); temp_ram(i*2+1) := temp_word(63 downto 32);
end loop; end loop;
if RND_PAYLOAD_SIZE /= 0 then if RND_PAYLOAD_SIZE /= 0 then
procedure init_load_payload(ram: inout ram_t; filename: string) is init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE);
end if; end if;
return temp_ram; return temp_ram;
end function; end function;

File diff suppressed because it is too large Load Diff

File diff suppressed because one or more lines are too long
Loading…
Cancel
Save