Remove unused signal

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
pull/133/head
Anton Blanchard 4 years ago committed by Anton Blanchard
parent 25968951e4
commit f37ef56d79

@ -22,7 +22,6 @@ architecture behaviour of divider is
signal result : std_ulogic_vector(63 downto 0);
signal sresult : std_ulogic_vector(64 downto 0);
signal oresult : std_ulogic_vector(63 downto 0);
signal qbit : std_ulogic;
signal running : std_ulogic;
signal signcheck : std_ulogic;
signal count : unsigned(6 downto 0);

Loading…
Cancel
Save