You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/scripts
Dan Horák 1ddbacb67f syscon: Implement a register for storing git hash info
It also stores the dirty status so that's known.

This does some Makefile tricks so that we only rebuild when the git
hash changes. This avoids rebuilding the world every time we run
make.

Also adds fusesoc generator, so that should continue to work as
before.

Signed-off-by: Dan Horák <dan@danny.cz>
Signed-off-by: Michael Neuling <mikey@neuling.org>
2 years ago
..
fmt_log icache: Log 36 bits of instruction rather than 32 2 years ago
mw_debug Provide debug access to SPRs in loadstore1 and mmu 2 years ago
bin2hex.py bin2hex: Make sure to generate little endian files 4 years ago
dependencies.py uart: Rename sim_uart.vhdl to sim_pp_uart.vhdl 4 years ago
gen_icache_tb.py icache_tb: Improve test and include test file 5 years ago
make_version.sh syscon: Implement a register for storing git hash info 2 years ago
make_version_fusesoc.py syscon: Implement a register for storing git hash info 2 years ago
run_test.sh tests: Minor script cleanups 2 years ago
run_test_console.sh test: Add test for metavalues 2 years ago
test_micropython.py Update micropython 4 years ago
test_micropython_long.py Update micropython 4 years ago
test_micropython_verilator.py makefile: Add some verilator micropython tests 3 years ago
test_micropython_verilator_long.py makefile: Add some verilator micropython tests 3 years ago
vhdltags Add VHDL TAGS 4 years ago