litedram: Regenerate

Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
jtag-port
Benjamin Herrenschmidt 4 years ago
parent acbdd396a5
commit 6efb31c924

@ -229,7 +229,9 @@ begin
-- We don't do pipelining yet. -- We don't do pipelining yet.
wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack; wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack;


-- Use alternate core reset address set when DRAM is not initialized. -- Reset ignored, the reset controller use the pll lock signal,
-- and alternate core reset address set when DRAM is not initialized.
--
core_alt_reset <= not init_done; core_alt_reset <= not init_done;


-- State machine -- State machine

@ -519,11 +519,11 @@ f8c101a838800140
38c101987c651b78 38c101987c651b78
7fe3fb78f8e101b0 7fe3fb78f8e101b0
f92101c0f90101b8 f92101c0f90101b8
4800163df94101c8 4800161df94101c8
7c7e1b7860000000 7c7e1b7860000000
480011c17fe3fb78 480011a17fe3fb78
3821017060000000 3821017060000000
48001bfc7fc3f378 48001bdc7fc3f378
0100000000000000 0100000000000000
4e80002000000280 4e80002000000280
0000000000000000 0000000000000000
@ -531,51 +531,47 @@ f92101c0f90101b8
7c0802a638429e74 7c0802a638429e74
7d908026fbe1fff8 7d908026fbe1fff8
f801001091810008 f801001091810008
480010cdf821ff91 480010adf821ff91
3940271060000000 3c62ffff60000000
7d4903a63d20c000 4bffff4d38637d60
7929002061292010
7d404eaa7c0004ac
3c62ffff4200fff8
4bffff2d38637d80
548400023880ffff 548400023880ffff
7c8026ea7c0004ac 7c8026ea7c0004ac
3fe0c0003c62ffff 3fe0c0003c62ffff
63ff000838637da0 63ff000838637d80
3c62ffff4bffff09 3c62ffff4bffff29
38637dc07bff0020 38637da07bff0020
7c0004ac4bfffef9 7c0004ac4bffff19
73e900017fe0feea 73e900017fe0feea
3c62ffff41820010 3c62ffff41820010
4bfffedd38637dd8 4bfffefd38637db8
4e00000073e90002 4e00000073e90002
3c62ffff41820010 3c62ffff41820010
4bfffec538637de0 4bfffee538637dc0
3bff7fc83fe2ffff 3bff7fa83fe2ffff
4bfffeb57fe3fb78 4bfffed57fe3fb78
608400103c80c000 608400103c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637de87884b282 38637dc87884b282
419200284bfffe91 419200284bfffeb1
608400183c80c000 608400183c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637e0878846502 38637de878846502
3d20c0004bfffe69 3d20c0004bfffe89
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
3c62ffff3c80000f 3c62ffff3c80000f
38637e2860844240 38637e0860844240
4bfffe3d7c892392 4bfffe5d7c892392
4bfffe357fe3fb78 4bfffe557fe3fb78
3ca2ffff41920028 3ca2ffff41920028
3c62ffff3c82ffff 3c62ffff3c82ffff
38847e5838a57e48 38847e3838a57e28
4bfffe1538637e60 4bfffe3538637e40
6000000048000dd5 6000000048000dd5
38637e903c62ffff 38637e703c62ffff
382100704bfffe01 382100704bfffe21
7d90812081810008 7d90812081810008
0000000048001a54 0000000048001a54
0000018003000000 0000018003000000
@ -635,11 +631,11 @@ f801001091810008
9864000099240001 9864000099240001
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38429b183c4c0001 38429b383c4c0001
480017ed7c0802a6 480017ed7c0802a6
7c7e1b78f821ff21 7c7e1b78f821ff21
38637f603c62ffff 38637f403c62ffff
600000004bfffc01 600000004bfffc21
390100603ca08020 390100603ca08020
3940000460a50003 3940000460a50003
7d1d43783920002a 7d1d43783920002a
@ -690,7 +686,7 @@ f801001091810008
793500203ee2ffff 793500203ee2ffff
7d2907b47ed607b4 7d2907b47ed607b4
3b0100703be00000 3b0100703be00000
7f3db2143af77f88 7f3db2143af77f68
7f5d4a147ebdaa14 7f5d4a147ebdaa14
3860000f4bfffd75 3860000f4bfffd75
4bfffca93b800000 4bfffca93b800000
@ -731,8 +727,8 @@ f801001091810008
4bffffcc3b400000 4bffffcc3b400000
7fbfe2142f9f0020 7fbfe2142f9f0020
409e006c7fbd0e70 409e006c7fbd0e70
38637f703c62ffff 38637f503c62ffff
600000004bfff919 600000004bfff939
3be000007fc3f378 3be000007fc3f378
7f9fe8004bfffb8d 7f9fe8004bfffb8d
3d40c010419c0070 3d40c010419c0070
@ -746,42 +742,42 @@ f801001091810008
480014e4382100e0 480014e4382100e0
3c62ffff7cbfe050 3c62ffff7cbfe050
7ca501947ca50e70 7ca501947ca50e70
38637f787fa4eb78 38637f587fa4eb78
4bfff89d7ca507b4 4bfff8bd7ca507b4
4bffff8460000000 4bffff8460000000
3bff00017fc3f378 3bff00017fc3f378
7fff07b44bfffb59 7fff07b44bfffb59
000000004bffff7c 000000004bffff7c
00000b8001000000 00000b8001000000
384297683c4c0001 384297883c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
3920000e614a0800 3920000e614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
600000007d20572a 600000007d20572a
4bfff83d38628038 4bfff85d38628018
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384297103c4c0001 384297303c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
39200001614a0800 39200001614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff7e538637fa8 4bfff80538637f88
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384296b83c4c0001 384296d83c4c0001
390000807c0802a6 390000807c0802a6
3d40aaaa7d0903a6 3d40aaaa7d0903a6
614aaaaa3d204000 614aaaaa3d204000
f821ff8148001399 f821ff8148001399
3929000491490000 3929000491490000
4bfff8014200fff8 4bfff8214200fff8
3940008060000000 3940008060000000
7d4903a63d00aaaa 7d4903a63d00aaaa
3be000003d204000 3be000003d204000
@ -793,7 +789,7 @@ f821ff8148001399
3d2040007d0903a6 3d2040007d0903a6
91490000614a5555 91490000614a5555
4200fff839290004 4200fff839290004
600000004bfff7a5 600000004bfff7c5
3d00555539400080 3d00555539400080
3d2040007d4903a6 3d2040007d4903a6
8149000061085555 8149000061085555
@ -802,8 +798,8 @@ f821ff8148001399
4200ffe839290004 4200ffe839290004
419e001c2fbf0000 419e001c2fbf0000
38a001003c62ffff 38a001003c62ffff
38637ea87fe4fb78 38637e887fe4fb78
600000004bfff6e1 600000004bfff701
3ce080203d000008 3ce080203d000008
60e700037d0903a6 60e700037d0903a6
392000013d404000 392000013d404000
@ -811,7 +807,7 @@ f821ff8148001399
7d2900d0792907e0 7d2900d0792907e0
7d293838394a0004 7d293838394a0004
912afffc7d294278 912afffc7d294278
4bfff7114200ffe4 4bfff7314200ffe4
3d00000860000000 3d00000860000000
7d0903a63ce08020 7d0903a63ce08020
3d40400060e70003 3d40400060e70003
@ -825,13 +821,13 @@ f821ff8148001399
2fbd00004200ffd4 2fbd00004200ffd4
3c62ffff419e001c 3c62ffff419e001c
7fa4eb783ca00008 7fa4eb783ca00008
4bfff62d38637ed0 4bfff64d38637eb0
3920200060000000 3920200060000000
7d2903a639400000 7d2903a639400000
794800203d2a1000 794800203d2a1000
394a000139290002 394a000139290002
9109000079291764 9109000079291764
4bfff6714200ffe8 4bfff6914200ffe8
3920200060000000 3920200060000000
7d2903a639400000 7d2903a639400000
3d2a10003bc00000 3d2a10003bc00000
@ -842,12 +838,12 @@ f821ff8148001399
2fbe00004200ffdc 2fbe00004200ffdc
3c62ffff419e001c 3c62ffff419e001c
7fc4f37838a02000 7fc4f37838a02000
4bfff5a538637ef8 4bfff5c538637ed8
7fffea1460000000 7fffea1460000000
7ffff21438600000 7ffff21438600000
409e00a82f9f0000 409e00a82f9f0000
38637f203c62ffff 38637f003c62ffff
600000004bfff581 600000004bfff5a1
3d4000087c9602a6 3d4000087c9602a6
7d4903a678840020 7d4903a678840020
3d49100039200000 3d49100039200000
@ -855,7 +851,7 @@ f821ff8148001399
910a000039290001 910a000039290001
7ff602a64200ffec 7ff602a64200ffec
3fe064007c9f2050 3fe064007c9f2050
4bfff5b17fff2396 4bfff5d17fff2396
7bff002060000000 7bff002060000000
3d0000087d3602a6 3d0000087d3602a6
7d0903a679290020 7d0903a679290020
@ -864,12 +860,12 @@ f821ff8148001399
7d2548507cb602a6 7d2548507cb602a6
7ca54b963ca06400 7ca54b963ca06400
7fe4fb783c62ffff 7fe4fb783c62ffff
78a5006038637f30 78a5006038637f10
600000004bfff4f1 600000004bfff511
3821008038600001 3821008038600001
0000000048001128 0000000048001128
0000038001000000 0000038001000000
384293c83c4c0001 384293e83c4c0001
480010817c0802a6 480010817c0802a6
3fe0c010f821fec1 3fe0c010f821fec1
63ff00283bc00001 63ff00283bc00001
@ -885,16 +881,16 @@ f821ff8148001399
7c0004ac7d20ff2a 7c0004ac7d20ff2a
7c0004ac7fc0e72a 7c0004ac7fc0e72a
3c62ffff7fa0ff2a 3c62ffff7fa0ff2a
38637fe83b810070 38637fc83b810070
4bfff4453e02ffff 4bfff4653e02ffff
3d22ffff60000000 3d22ffff60000000
60000000fb810080 3de2fffffb810080
6000000039297ff8 3dc2ffff39297fd8
3ae100633e42ffff 3ae100633e42ffff
3ac10061f9210098 3ac10061f9210098
3a107f883be00000 3a107f683be00000
39c2801039e28008 39ce7ff039ef7fe8
392100643a527fc8 392100643a527fa8
3e80c0103b200001 3e80c0103b200001
f92100883ea0c010 f92100883ea0c010
7f39f83039210068 7f39f83039210068
@ -957,7 +953,7 @@ e88100884bfff63d
7f604f2a7c0004ac 7f604f2a7c0004ac
7fa5eb78e8610098 7fa5eb78e8610098
3b4000207fe4fb78 3b4000207fe4fb78
4bfff20d3b600000 4bfff22d3b600000
7fe3fb7860000000 7fe3fb7860000000
4bfff5194bfff485 4bfff5194bfff485
3a2000013860000f 3a2000013860000f
@ -971,25 +967,25 @@ e94100a04bfff581
409e00907f883800 409e00907f883800
2baa0010394a0004 2baa0010394a0004
7e248b78409effc0 7e248b78409effc0
4bfff19d7de37b78 4bfff1bd7de37b78
3b5affff60000000 3b5affff60000000
4bfff45d7fe3fb78 4bfff45d7fe3fb78
7f7b8a147b5a0021 7f7b8a147b5a0021
4082ff807f7b07b4 4082ff807f7b07b4
4bfff1757dc37378 4bfff1957dc37378
3920000060000000 3920000060000000
7d20a72a7c0004ac 7d20a72a7c0004ac
7d20af2a7c0004ac 7d20af2a7c0004ac
4bfff3753860000b 4bfff3753860000b
4bfff3a93860000f 4bfff3a93860000f
4bfff52d7fe3fb78 4bfff52d7fe3fb78
4bfff13d7e439378 4bfff15d7e439378
7f98d80060000000 7f98d80060000000
7f1bc378419cfd70 7f1bc378419cfd70
3a2000004bfffd6c 3a2000004bfffd6c
600000004bffff70 3c62ffff4bffff70
7fe4fb787fc5f378 7fe4fb787fc5f378
4bfff10d38628018 4bfff12d38637ff8
3d20c01060000000 3d20c01060000000
7929002061290028 7929002061290028
7f204f2a7c0004ac 7f204f2a7c0004ac
@ -1004,7 +1000,7 @@ e94100a04bfff581
4200003438e00000 4200003438e00000
3af7ffff7fe3fb78 3af7ffff7fe3fb78
7e4393784bfff489 7e4393784bfff489
4bfff0953b9cffff 4bfff0b53b9cffff
2f9f000160000000 2f9f000160000000
419e00283ad6ffff 419e00283ad6ffff
4bfffc783be00001 4bfffc783be00001
@ -1015,12 +1011,12 @@ e94100a04bfff581
48000c6038600001 48000c6038600001
0100000000000000 0100000000000000
3c4c000100001280 3c4c000100001280
7c0802a638428f3c 7c0802a638428f5c
38637fd03c62ffff 38637fb03c62ffff
f821ff7148000c1d f821ff7148000c1d
3be000003f60c010 3be000003f60c010
7b7b0020637b1000 7b7b0020637b1000
600000004bfff019 600000004bfff039
7fe0df2a7c0004ac 7fe0df2a7c0004ac
635a10083f40c010 635a10083f40c010
7c0004ac7b5a0020 7c0004ac7b5a0020
@ -1068,28 +1064,28 @@ f821ff7148000c1d
386000017f80df2a 386000017f80df2a
000000004bffffec 000000004bffffec
0000068001000000 0000068001000000
38428d903c4c0001 38428db03c4c0001
600000003d20c000 600000003d20c000
7929002061292000 7929002061292000
3d20c000f92280b0 3d20c000f9228090
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
614a20003d40001c 614a20003d40001c
e94280b07d295392 e94280907d295392
3929ffff394a0018 3929ffff394a0018
7d2057ea7c0004ac 7d2057ea7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428d303c4c0001 38428d503c4c0001
e92280b060000000 e922809060000000
7c0004ac39290010 7c0004ac39290010
712900087d204eea 712900087d204eea
5469063e4082ffe8 5469063e4082ffe8
7c0004ace94280b0 7c0004ace9428090
4e8000207d2057ea 4e8000207d2057ea
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
7c0802a638428cec 7c0802a638428d0c
fbe1fff8fbc1fff0 fbe1fff8fbc1fff0
f80100103bc3ffff f80100103bc3ffff
8ffe0001f821ffd1 8ffe0001f821ffd1
@ -1149,7 +1145,7 @@ e8e400007c691a14
7c6307b43863ffe0 7c6307b43863ffe0
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428b083c4c0001 38428b283c4c0001
3d2037367c0802a6 3d2037367c0802a6
612935347d908026 612935347d908026
65293332792907c6 65293332792907c6
@ -1183,7 +1179,7 @@ fbfd00007fe9fa14
4bfffff07d29f392 4bfffff07d29f392
0300000000000000 0300000000000000
3c4c000100000580 3c4c000100000580
7c0802a6384289fc 7c0802a638428a1c
f821ffb1480006e9 f821ffb1480006e9
7c7f1b78eb630000 7c7f1b78eb630000
7cbd2b787c9c2378 7cbd2b787c9c2378
@ -1199,7 +1195,7 @@ f821ffb1480006e9
4bffffb8f93f0000 4bffffb8f93f0000
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000580
7c0802a63842897c 7c0802a63842899c
f821ffa148000661 f821ffa148000661
7c9b23787c7d1b78 7c9b23787c7d1b78
388000007ca32b78 388000007ca32b78
@ -1230,7 +1226,7 @@ e95d00009b270000
f95d0000394a0001 f95d0000394a0001
000000004bffffa8 000000004bffffa8
0000078001000000 0000078001000000
384288803c4c0001 384288a03c4c0001
480005397c0802a6 480005397c0802a6
7c741b79f821fed1 7c741b79f821fed1
38600000f8610060 38600000f8610060
@ -1239,7 +1235,7 @@ f95d0000394a0001
3ac4ffff60000000 3ac4ffff60000000
f92100703b410020 f92100703b410020
3ae0000060000000 3ae0000060000000
3a428060392280a8 3a42804039228088
f92100783ba10060 f92100783ba10060
ebc1006089250000 ebc1006089250000
419e00102fa90000 419e00102fa90000

@ -1,5 +1,5 @@
//-------------------------------------------------------------------------------- //--------------------------------------------------------------------------------
// Auto-generated by Migen (0d16e03) & LiteX (3391398a) on 2020-05-15 09:40:26 // Auto-generated by Migen (0d16e03) & LiteX (3391398a) on 2020-05-15 13:30:46
//-------------------------------------------------------------------------------- //--------------------------------------------------------------------------------
module litedram_core( module litedram_core(
input wire clk, input wire clk,

@ -229,7 +229,9 @@ begin
-- We don't do pipelining yet. -- We don't do pipelining yet.
wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack; wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack;


-- Use alternate core reset address set when DRAM is not initialized. -- Reset ignored, the reset controller use the pll lock signal,
-- and alternate core reset address set when DRAM is not initialized.
--
core_alt_reset <= not init_done; core_alt_reset <= not init_done;


-- State machine -- State machine

@ -519,11 +519,11 @@ f8c101a838800140
38c101987c651b78 38c101987c651b78
7fe3fb78f8e101b0 7fe3fb78f8e101b0
f92101c0f90101b8 f92101c0f90101b8
4800163df94101c8 4800161df94101c8
7c7e1b7860000000 7c7e1b7860000000
480011c17fe3fb78 480011a17fe3fb78
3821017060000000 3821017060000000
48001bfc7fc3f378 48001bdc7fc3f378
0100000000000000 0100000000000000
4e80002000000280 4e80002000000280
0000000000000000 0000000000000000
@ -531,51 +531,47 @@ f92101c0f90101b8
7c0802a638429e74 7c0802a638429e74
7d908026fbe1fff8 7d908026fbe1fff8
f801001091810008 f801001091810008
480010cdf821ff91 480010adf821ff91
3940271060000000 3c62ffff60000000
7d4903a63d20c000 4bffff4d38637d60
7929002061292010
7d404eaa7c0004ac
3c62ffff4200fff8
4bffff2d38637d80
548400023880ffff 548400023880ffff
7c8026ea7c0004ac 7c8026ea7c0004ac
3fe0c0003c62ffff 3fe0c0003c62ffff
63ff000838637da0 63ff000838637d80
3c62ffff4bffff09 3c62ffff4bffff29
38637dc07bff0020 38637da07bff0020
7c0004ac4bfffef9 7c0004ac4bffff19
73e900017fe0feea 73e900017fe0feea
3c62ffff41820010 3c62ffff41820010
4bfffedd38637dd8 4bfffefd38637db8
4e00000073e90002 4e00000073e90002
3c62ffff41820010 3c62ffff41820010
4bfffec538637de0 4bfffee538637dc0
3bff7fc83fe2ffff 3bff7fa83fe2ffff
4bfffeb57fe3fb78 4bfffed57fe3fb78
608400103c80c000 608400103c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637de87884b282 38637dc87884b282
419200284bfffe91 419200284bfffeb1
608400183c80c000 608400183c80c000
7c0004ac78840020 7c0004ac78840020
3c62ffff7c8026ea 3c62ffff7c8026ea
38637e0878846502 38637de878846502
3d20c0004bfffe69 3d20c0004bfffe89
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
3c62ffff3c80000f 3c62ffff3c80000f
38637e2860844240 38637e0860844240
4bfffe3d7c892392 4bfffe5d7c892392
4bfffe357fe3fb78 4bfffe557fe3fb78
3ca2ffff41920028 3ca2ffff41920028
3c62ffff3c82ffff 3c62ffff3c82ffff
38847e5838a57e48 38847e3838a57e28
4bfffe1538637e60 4bfffe3538637e40
6000000048000dd5 6000000048000dd5
38637e903c62ffff 38637e703c62ffff
382100704bfffe01 382100704bfffe21
7d90812081810008 7d90812081810008
0000000048001a54 0000000048001a54
0000018003000000 0000018003000000
@ -635,11 +631,11 @@ f801001091810008
9864000099240001 9864000099240001
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38429b183c4c0001 38429b383c4c0001
480017ed7c0802a6 480017ed7c0802a6
7c7e1b78f821ff21 7c7e1b78f821ff21
38637f603c62ffff 38637f403c62ffff
600000004bfffc01 600000004bfffc21
390100603ca08020 390100603ca08020
3940000460a50003 3940000460a50003
7d1d43783920002a 7d1d43783920002a
@ -690,7 +686,7 @@ f801001091810008
793500203ee2ffff 793500203ee2ffff
7d2907b47ed607b4 7d2907b47ed607b4
3b0100703be00000 3b0100703be00000
7f3db2143af77f88 7f3db2143af77f68
7f5d4a147ebdaa14 7f5d4a147ebdaa14
3860000f4bfffd75 3860000f4bfffd75
4bfffca93b800000 4bfffca93b800000
@ -731,8 +727,8 @@ f801001091810008
4bffffcc3b400000 4bffffcc3b400000
7fbfe2142f9f0020 7fbfe2142f9f0020
409e006c7fbd0e70 409e006c7fbd0e70
38637f703c62ffff 38637f503c62ffff
600000004bfff919 600000004bfff939
3be000007fc3f378 3be000007fc3f378
7f9fe8004bfffb8d 7f9fe8004bfffb8d
3d40c010419c0070 3d40c010419c0070
@ -746,42 +742,42 @@ f801001091810008
480014e4382100e0 480014e4382100e0
3c62ffff7cbfe050 3c62ffff7cbfe050
7ca501947ca50e70 7ca501947ca50e70
38637f787fa4eb78 38637f587fa4eb78
4bfff89d7ca507b4 4bfff8bd7ca507b4
4bffff8460000000 4bffff8460000000
3bff00017fc3f378 3bff00017fc3f378
7fff07b44bfffb59 7fff07b44bfffb59
000000004bffff7c 000000004bffff7c
00000b8001000000 00000b8001000000
384297683c4c0001 384297883c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
3920000e614a0800 3920000e614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
600000007d20572a 600000007d20572a
4bfff83d38628038 4bfff85d38628018
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384297103c4c0001 384297303c4c0001
3d40c0107c0802a6 3d40c0107c0802a6
39200001614a0800 39200001614a0800
f8010010794a0020 f8010010794a0020
7c0004acf821ffa1 7c0004acf821ffa1
3c62ffff7d20572a 3c62ffff7d20572a
4bfff7e538637fa8 4bfff80538637f88
3821006060000000 3821006060000000
7c0803a6e8010010 7c0803a6e8010010
000000004e800020 000000004e800020
0000008001000000 0000008001000000
384296b83c4c0001 384296d83c4c0001
390000807c0802a6 390000807c0802a6
3d40aaaa7d0903a6 3d40aaaa7d0903a6
614aaaaa3d204000 614aaaaa3d204000
f821ff8148001399 f821ff8148001399
3929000491490000 3929000491490000
4bfff8014200fff8 4bfff8214200fff8
3940008060000000 3940008060000000
7d4903a63d00aaaa 7d4903a63d00aaaa
3be000003d204000 3be000003d204000
@ -793,7 +789,7 @@ f821ff8148001399
3d2040007d0903a6 3d2040007d0903a6
91490000614a5555 91490000614a5555
4200fff839290004 4200fff839290004
600000004bfff7a5 600000004bfff7c5
3d00555539400080 3d00555539400080
3d2040007d4903a6 3d2040007d4903a6
8149000061085555 8149000061085555
@ -802,8 +798,8 @@ f821ff8148001399
4200ffe839290004 4200ffe839290004
419e001c2fbf0000 419e001c2fbf0000
38a001003c62ffff 38a001003c62ffff
38637ea87fe4fb78 38637e887fe4fb78
600000004bfff6e1 600000004bfff701
3ce080203d000008 3ce080203d000008
60e700037d0903a6 60e700037d0903a6
392000013d404000 392000013d404000
@ -811,7 +807,7 @@ f821ff8148001399
7d2900d0792907e0 7d2900d0792907e0
7d293838394a0004 7d293838394a0004
912afffc7d294278 912afffc7d294278
4bfff7114200ffe4 4bfff7314200ffe4
3d00000860000000 3d00000860000000
7d0903a63ce08020 7d0903a63ce08020
3d40400060e70003 3d40400060e70003
@ -825,13 +821,13 @@ f821ff8148001399
2fbd00004200ffd4 2fbd00004200ffd4
3c62ffff419e001c 3c62ffff419e001c
7fa4eb783ca00008 7fa4eb783ca00008
4bfff62d38637ed0 4bfff64d38637eb0
3920200060000000 3920200060000000
7d2903a639400000 7d2903a639400000
794800203d2a1000 794800203d2a1000
394a000139290002 394a000139290002
9109000079291764 9109000079291764
4bfff6714200ffe8 4bfff6914200ffe8
3920200060000000 3920200060000000
7d2903a639400000 7d2903a639400000
3d2a10003bc00000 3d2a10003bc00000
@ -842,12 +838,12 @@ f821ff8148001399
2fbe00004200ffdc 2fbe00004200ffdc
3c62ffff419e001c 3c62ffff419e001c
7fc4f37838a02000 7fc4f37838a02000
4bfff5a538637ef8 4bfff5c538637ed8
7fffea1460000000 7fffea1460000000
7ffff21438600000 7ffff21438600000
409e00a82f9f0000 409e00a82f9f0000
38637f203c62ffff 38637f003c62ffff
600000004bfff581 600000004bfff5a1
3d4000087c9602a6 3d4000087c9602a6
7d4903a678840020 7d4903a678840020
3d49100039200000 3d49100039200000
@ -855,7 +851,7 @@ f821ff8148001399
910a000039290001 910a000039290001
7ff602a64200ffec 7ff602a64200ffec
3fe064007c9f2050 3fe064007c9f2050
4bfff5b17fff2396 4bfff5d17fff2396
7bff002060000000 7bff002060000000
3d0000087d3602a6 3d0000087d3602a6
7d0903a679290020 7d0903a679290020
@ -864,12 +860,12 @@ f821ff8148001399
7d2548507cb602a6 7d2548507cb602a6
7ca54b963ca06400 7ca54b963ca06400
7fe4fb783c62ffff 7fe4fb783c62ffff
78a5006038637f30 78a5006038637f10
600000004bfff4f1 600000004bfff511
3821008038600001 3821008038600001
0000000048001128 0000000048001128
0000038001000000 0000038001000000
384293c83c4c0001 384293e83c4c0001
480010817c0802a6 480010817c0802a6
3fe0c010f821fec1 3fe0c010f821fec1
63ff00283bc00001 63ff00283bc00001
@ -885,16 +881,16 @@ f821ff8148001399
7c0004ac7d20ff2a 7c0004ac7d20ff2a
7c0004ac7fc0e72a 7c0004ac7fc0e72a
3c62ffff7fa0ff2a 3c62ffff7fa0ff2a
38637fe83b810070 38637fc83b810070
4bfff4453e02ffff 4bfff4653e02ffff
3d22ffff60000000 3d22ffff60000000
60000000fb810080 3de2fffffb810080
6000000039297ff8 3dc2ffff39297fd8
3ae100633e42ffff 3ae100633e42ffff
3ac10061f9210098 3ac10061f9210098
3a107f883be00000 3a107f683be00000
39c2801039e28008 39ce7ff039ef7fe8
392100643a527fc8 392100643a527fa8
3e80c0103b200001 3e80c0103b200001
f92100883ea0c010 f92100883ea0c010
7f39f83039210068 7f39f83039210068
@ -957,7 +953,7 @@ e88100884bfff63d
7f604f2a7c0004ac 7f604f2a7c0004ac
7fa5eb78e8610098 7fa5eb78e8610098
3b4000207fe4fb78 3b4000207fe4fb78
4bfff20d3b600000 4bfff22d3b600000
7fe3fb7860000000 7fe3fb7860000000
4bfff5194bfff485 4bfff5194bfff485
3a2000013860000f 3a2000013860000f
@ -971,25 +967,25 @@ e94100a04bfff581
409e00907f883800 409e00907f883800
2baa0010394a0004 2baa0010394a0004
7e248b78409effc0 7e248b78409effc0
4bfff19d7de37b78 4bfff1bd7de37b78
3b5affff60000000 3b5affff60000000
4bfff45d7fe3fb78 4bfff45d7fe3fb78
7f7b8a147b5a0021 7f7b8a147b5a0021
4082ff807f7b07b4 4082ff807f7b07b4
4bfff1757dc37378 4bfff1957dc37378
3920000060000000 3920000060000000
7d20a72a7c0004ac 7d20a72a7c0004ac
7d20af2a7c0004ac 7d20af2a7c0004ac
4bfff3753860000b 4bfff3753860000b
4bfff3a93860000f 4bfff3a93860000f
4bfff52d7fe3fb78 4bfff52d7fe3fb78
4bfff13d7e439378 4bfff15d7e439378
7f98d80060000000 7f98d80060000000
7f1bc378419cfd70 7f1bc378419cfd70
3a2000004bfffd6c 3a2000004bfffd6c
600000004bffff70 3c62ffff4bffff70
7fe4fb787fc5f378 7fe4fb787fc5f378
4bfff10d38628018 4bfff12d38637ff8
3d20c01060000000 3d20c01060000000
7929002061290028 7929002061290028
7f204f2a7c0004ac 7f204f2a7c0004ac
@ -1004,7 +1000,7 @@ e94100a04bfff581
4200003438e00000 4200003438e00000
3af7ffff7fe3fb78 3af7ffff7fe3fb78
7e4393784bfff489 7e4393784bfff489
4bfff0953b9cffff 4bfff0b53b9cffff
2f9f000160000000 2f9f000160000000
419e00283ad6ffff 419e00283ad6ffff
4bfffc783be00001 4bfffc783be00001
@ -1015,12 +1011,12 @@ e94100a04bfff581
48000c6038600001 48000c6038600001
0100000000000000 0100000000000000
3c4c000100001280 3c4c000100001280
7c0802a638428f3c 7c0802a638428f5c
38637fd03c62ffff 38637fb03c62ffff
f821ff7148000c1d f821ff7148000c1d
3be000003f60c010 3be000003f60c010
7b7b0020637b1000 7b7b0020637b1000
600000004bfff019 600000004bfff039
7fe0df2a7c0004ac 7fe0df2a7c0004ac
635a10083f40c010 635a10083f40c010
7c0004ac7b5a0020 7c0004ac7b5a0020
@ -1068,28 +1064,28 @@ f821ff7148000c1d
386000017f80df2a 386000017f80df2a
000000004bffffec 000000004bffffec
0000068001000000 0000068001000000
38428d903c4c0001 38428db03c4c0001
600000003d20c000 600000003d20c000
7929002061292000 7929002061292000
3d20c000f92280b0 3d20c000f9228090
7929002061290020 7929002061290020
7d204eea7c0004ac 7d204eea7c0004ac
614a20003d40001c 614a20003d40001c
e94280b07d295392 e94280907d295392
3929ffff394a0018 3929ffff394a0018
7d2057ea7c0004ac 7d2057ea7c0004ac
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428d303c4c0001 38428d503c4c0001
e92280b060000000 e922809060000000
7c0004ac39290010 7c0004ac39290010
712900087d204eea 712900087d204eea
5469063e4082ffe8 5469063e4082ffe8
7c0004ace94280b0 7c0004ace9428090
4e8000207d2057ea 4e8000207d2057ea
0000000000000000 0000000000000000
3c4c000100000000 3c4c000100000000
7c0802a638428cec 7c0802a638428d0c
fbe1fff8fbc1fff0 fbe1fff8fbc1fff0
f80100103bc3ffff f80100103bc3ffff
8ffe0001f821ffd1 8ffe0001f821ffd1
@ -1149,7 +1145,7 @@ e8e400007c691a14
7c6307b43863ffe0 7c6307b43863ffe0
000000004e800020 000000004e800020
0000000000000000 0000000000000000
38428b083c4c0001 38428b283c4c0001
3d2037367c0802a6 3d2037367c0802a6
612935347d908026 612935347d908026
65293332792907c6 65293332792907c6
@ -1183,7 +1179,7 @@ fbfd00007fe9fa14
4bfffff07d29f392 4bfffff07d29f392
0300000000000000 0300000000000000
3c4c000100000580 3c4c000100000580
7c0802a6384289fc 7c0802a638428a1c
f821ffb1480006e9 f821ffb1480006e9
7c7f1b78eb630000 7c7f1b78eb630000
7cbd2b787c9c2378 7cbd2b787c9c2378
@ -1199,7 +1195,7 @@ f821ffb1480006e9
4bffffb8f93f0000 4bffffb8f93f0000
0100000000000000 0100000000000000
3c4c000100000580 3c4c000100000580
7c0802a63842897c 7c0802a63842899c
f821ffa148000661 f821ffa148000661
7c9b23787c7d1b78 7c9b23787c7d1b78
388000007ca32b78 388000007ca32b78
@ -1230,7 +1226,7 @@ e95d00009b270000
f95d0000394a0001 f95d0000394a0001
000000004bffffa8 000000004bffffa8
0000078001000000 0000078001000000
384288803c4c0001 384288a03c4c0001
480005397c0802a6 480005397c0802a6
7c741b79f821fed1 7c741b79f821fed1
38600000f8610060 38600000f8610060
@ -1239,7 +1235,7 @@ f95d0000394a0001
3ac4ffff60000000 3ac4ffff60000000
f92100703b410020 f92100703b410020
3ae0000060000000 3ae0000060000000
3a428060392280a8 3a42804039228088
f92100783ba10060 f92100783ba10060
ebc1006089250000 ebc1006089250000
419e00102fa90000 419e00102fa90000

@ -1,5 +1,5 @@
//-------------------------------------------------------------------------------- //--------------------------------------------------------------------------------
// Auto-generated by Migen (0d16e03) & LiteX (3391398a) on 2020-05-15 09:40:28 // Auto-generated by Migen (0d16e03) & LiteX (3391398a) on 2020-05-15 13:30:49
//-------------------------------------------------------------------------------- //--------------------------------------------------------------------------------
module litedram_core( module litedram_core(
input wire clk, input wire clk,

Loading…
Cancel
Save