Move debug execute output into decode2

This covers all units, and we avoid double printing.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
nia-debug
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 92a7152370
commit a8f8c54b77

@ -188,6 +188,15 @@ begin
decode2_0: process(clk) decode2_0: process(clk)
begin begin
if rising_edge(clk) then if rising_edge(clk) then
if rin.e.valid = '1' then
report "execute " & to_hstring(rin.e.nia);
end if;
if rin.l.valid = '1' then
report "execute " & to_hstring(rin.e.nia);
end if;
if rin.m.valid = '1' then
report "execute " & to_hstring(rin.e.nia);
end if;
r <= rin; r <= rin;
r_int <= rin_int; r_int <= rin_int;
end if; end if;

@ -79,8 +79,6 @@ begin
v.e.valid := '1'; v.e.valid := '1';
v.e.write_reg := e_in.write_reg; v.e.write_reg := e_in.write_reg;


report "execute " & to_hstring(e_in.nia);

case_0: case e_in.insn_type is case_0: case e_in.insn_type is


when OP_ILLEGAL => when OP_ILLEGAL =>

@ -28,11 +28,6 @@ begin
begin begin
if rising_edge(clk) then if rising_edge(clk) then
l <= l_in; l <= l_in;

if l_in.valid = '1' then
report "execute " & to_hstring(l_in.nia);
end if;

end if; end if;
end process; end process;



Loading…
Cancel
Save