Reset cmd_ready_o in spi_txrx

Initialize bit_count so that cmd_ready_o isn't X state immediately
after reset.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
cache-tlb-parameters-2
Anton Blanchard 3 years ago committed by Anton Blanchard
parent 39c826aa46
commit bf9a446e3f

@ -257,12 +257,16 @@ begin
count_bit: process(clk) count_bit: process(clk)
begin begin
if rising_edge(clk) then if rising_edge(clk) then
if start_cmd = '1' then if rst = '1' then
bit_count <= cmd_clks_i; bit_count <= (others => '0');
elsif state /= DATA then else
bit_count <= (others => '1'); if start_cmd = '1' then
elsif sck_recv = '1' then bit_count <= cmd_clks_i;
bit_count <= std_ulogic_vector(unsigned(bit_count) - 1); elsif state /= DATA then
bit_count <= (others => '1');
elsif sck_recv = '1' then
bit_count <= std_ulogic_vector(unsigned(bit_count) - 1);
end if;
end if; end if;
end if; end if;
end process; end process;

Loading…
Cancel
Save