Reformat writeback.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
jtag-port
Anton Blanchard 5 years ago committed by Anton Blanchard
parent 50a361a5dc
commit e69e79d8af

@ -40,9 +40,9 @@ begin
end process; end process;


writeback_1: process(all) writeback_1: process(all)
variable x: std_ulogic_vector(0 downto 0); variable x : std_ulogic_vector(0 downto 0);
variable y: std_ulogic_vector(0 downto 0); variable y : std_ulogic_vector(0 downto 0);
variable z: std_ulogic_vector(0 downto 0); variable z : std_ulogic_vector(0 downto 0);
variable v : reg_type; variable v : reg_type;
variable v_int : reg_internal_type; variable v_int : reg_internal_type;
begin begin

Loading…
Cancel
Save