You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/asic
Anton Blanchard ace41e5153 ASIC: Reduce multiplier from 4 to 2 cycles
Our sky130 gate level multiply/adder now makes timing with a single
register stage.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
2 years ago
..
behavioural ASIC: Reduce multiplier from 4 to 2 cycles 2 years ago
cache_ram.vhdl Add arrays for ASIC flow 2 years ago
main_bram.vhdl Move register stage back after the RAM 2 years ago
microwatt_asic-verilator.cpp Add ASIC target 2 years ago
multiply.vhdl ASIC: Reduce multiplier from 4 to 2 cycles 2 years ago
register_file.vhdl Add arrays for ASIC flow 2 years ago
top-asic.vhdl Add simplebus 2 years ago