You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/fpga
Olof Kindgren abca85b034 Add constraint file for Nexys A7 5 years ago
..
LICENSE Initial import of microwatt 5 years ago
clk_gen_bypass.vhd Add dummy clock generator 5 years ago
firmware.hex Add a few more FPGA related files 5 years ago
nexys-video.xdc Initial import of microwatt 5 years ago
nexys_a7.xdc Add constraint file for Nexys A7 5 years ago
nodivide.patch Add a few more FPGA related files 5 years ago
pp_fifo.vhd Initial import of microwatt 5 years ago
pp_soc_memory.vhd Expose ram init file and memory size through toplevel 5 years ago
pp_soc_reset.vhd Initial import of microwatt 5 years ago
pp_soc_uart.vhd Initial import of microwatt 5 years ago
pp_utilities.vhd Initial import of microwatt 5 years ago
toplevel.vhd Expose ram init file and memory size through toplevel 5 years ago