A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Paul Mackerras 1a7aebeef8 Add random number generator and implement the darn instruction
This adds a true random number generator for the Xilinx FPGAs which
uses a set of chaotic ring oscillators to generate random bits and
then passes them through a Linear Hybrid Cellular Automaton (LHCA) to
remove bias, as described in "High Speed True Random Number Generators
in Xilinx FPGAs" by Catalin Baetoniu of Xilinx Inc., in:

https://pdfs.semanticscholar.org/83ac/9e9c1bb3dad5180654984604c8d5d8137412.pdf

This requires adding a .xdc file to tell vivado that the combinatorial
loops that form the ring oscillators are intentional.  The same
code should work on other FPGAs as well if their tools can be told to
accept the combinatorial loops.

For simulation, the random.vhdl module gets compiled in, which uses
the pseudorand() function to generate random numbers.

Synthesis using yosys uses nonrandom.vhdl, which always signals an
error, causing darn to return 0xffff_ffff_ffff_ffff.

This adds an implementation of the darn instruction.  Darn can return
either raw or conditioned random numbers.  On Xilinx FPGAs, reading a
raw random number gives the output of the ring oscillators, and
reading a conditioned random number gives the output of the LHCA.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
4 years ago
.github/workflows Create github artifacts for ECP5 devices 4 years ago
constraints Initial support for ghdl synthesis 4 years ago
fpga Add random number generator and implement the darn instruction 4 years ago
hello_world Enhance hello_world 4 years ago
include syscon: Add flag to indicate the timebase frequency 4 years ago
lib console: Add support for the 16550 UART 4 years ago
litedram Merge pull request #213 from ozbenh/uart16550 4 years ago
liteeth liteeth: Hook up LiteX LiteEth ethernet controller 4 years ago
media Add title image 5 years ago
micropython tests: Add updated micropython build with 16550 support 4 years ago
openocd flash-arty: update error message (#203) 4 years ago
rust_lib_demo console: Cleanup console API 4 years ago
scripts core: Implement the maddhd, maddhdu and maddld instructions 4 years ago
sim-unisim Add a debug (DMI) bus and a JTAG interface to it on Xilinx FPGAs 5 years ago
tests tests: Add tests for the PVR 4 years ago
uart16550 Add uart16550 files from fusesoc 4 years ago
verilator Pass clock frequency to UART sim wrapper 4 years ago
.gitignore Add yosys builds files to gitignore 4 years ago
LICENSE Initial import of microwatt 5 years ago
Makefile Add random number generator and implement the darn instruction 4 years ago
README.md Add Makefile command line variables to enable docker and podman 4 years ago
cache_ram.vhdl dcache: Rework RAM wrapper to synthetize better on Xilinx 4 years ago
common.vhdl core: Implement the TAR register and the bctar instruction 4 years ago
control.vhdl execute1: Do forwarding of the CR result to the next instruction 4 years ago
core.vhdl core: Don't generate logic for log data when LOG_LENGTH = 0 4 years ago
core_debug.vhdl Make LOG_LENGTH configurable per FPGA variant 4 years ago
core_dram_tb.vhdl soc: Rename wb_dram_ctrl to wb_ext_io and rework decoding 4 years ago
core_flash_tb.vhdl soc: Don't require dram wishbones signals to be wired by toplevel 4 years ago
core_tb.vhdl soc: Don't require dram wishbones signals to be wired by toplevel 4 years ago
countzero.vhdl countzero: Faster algorithm for count leading/trailing zeroes 4 years ago
countzero_tb.vhdl Exit cleanly from testbench on success 4 years ago
cr_file.vhdl core: Don't generate logic for log data when LOG_LENGTH = 0 4 years ago
cr_hazard.vhdl execute1: Do forwarding of the CR result to the next instruction 4 years ago
crhelpers.vhdl crhelpers: Constraint "crnum" integer 5 years ago
dcache.vhdl dcache: Ease timing on wishbone data and byte selects 4 years ago
dcache_tb.vhdl Exit cleanly from testbench on success 4 years ago
decode1.vhdl Add random number generator and implement the darn instruction 4 years ago
decode2.vhdl core: Implement the maddhd, maddhdu and maddld instructions 4 years ago
decode_types.vhdl core: Implement the maddhd, maddhdu and maddld instructions 4 years ago
divider.vhdl execute1: Remember dest GPR, RC, OE, XER for slow operations 4 years ago
divider_tb.vhdl Exit cleanly from testbench on success 4 years ago
dmi_dtm_dummy.vhdl Fix build issue in dmi_dtm_dummy.vhdl 5 years ago
dmi_dtm_tb.vhdl ram: Rework main RAM interface 5 years ago
dmi_dtm_xilinx.vhdl dmi: Add ASYNC_REG attribute on synchronizers (#200) 4 years ago
dram_tb.vhdl litedram: Improve dram_tb error output 4 years ago
execute1.vhdl Add random number generator and implement the darn instruction 4 years ago
fetch1.vhdl core: Implement CFAR register 4 years ago
glibc_random.vhdl Reformat glibc_random 5 years ago
glibc_random_helpers.vhdl Reformat glibc_random 5 years ago
gpr_hazard.vhdl core: Use a busy signal rather than a stall 4 years ago
helpers.vhdl execute: Copy XER[SO] to CR for cmp[i] and cmpl[i] instructions 4 years ago
icache.vhdl core: Don't generate logic for log data when LOG_LENGTH = 0 4 years ago
icache_tb.vhdl core: Remove fetch2 pipeline stage 4 years ago
icache_test.bin icache_tb: Improve test and include test file 5 years ago
insn_helpers.vhdl core: Implement the maddhd, maddhdu and maddld instructions 4 years ago
loadstore1.vhdl loadstore1: Better expression for store data formatting 4 years ago
logical.vhdl core: Implement the bpermd instruction 4 years ago
microwatt.core Add random number generator and implement the darn instruction 4 years ago
mmu.vhdl loadstore1: Generate busy signal earlier 4 years ago
multiply.vhdl execute1: Take an extra cycle for OE=1 multiply instructions 4 years ago
multiply_tb.vhdl multiplier: Generalize interface to the multiplier 4 years ago
nonrandom.vhdl Add random number generator and implement the darn instruction 4 years ago
plru.vhdl plru: Improve sensitivity list 5 years ago
plru_tb.vhdl Exit cleanly from testbench on success 4 years ago
ppc_fx_insns.vhdl core: Implement the cmpeqb and cmprb instructions 4 years ago
random.vhdl Add random number generator and implement the darn instruction 4 years ago
register_file.vhdl core: Don't generate logic for log data when LOG_LENGTH = 0 4 years ago
rotator.vhdl Implement the extswsli instruction 4 years ago
rotator_tb.vhdl Exit cleanly from testbench on success 4 years ago
sim_16550_uart.vhdl uart: Add a simulation model for the 16550 compatible UART 4 years ago
sim_bram.vhdl ram: Rework main RAM interface 5 years ago
sim_bram_helpers.vhdl ram: Rework main RAM interface 5 years ago
sim_bram_helpers_c.c Consolidate VHPI code 4 years ago
sim_console.vhdl Reformat sim_console 5 years ago
sim_console_c.c sim_console: Fix polling to check for POLLIN 4 years ago
sim_jtag.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket_c.c Consolidate VHPI code 4 years ago
sim_no_flash.vhdl spi: Add simulation support 4 years ago
sim_pp_uart.vhdl uart: Rename sim_uart.vhdl to sim_pp_uart.vhdl 4 years ago
sim_vhpi_c.c Consolidate VHPI code 4 years ago
sim_vhpi_c.h Consolidate VHPI code 4 years ago
soc.vhdl uart: Make 16550 the default 4 years ago
spi_flash_ctrl.vhdl spi: Send dummy clocks at boot 4 years ago
spi_rxtx.vhdl spi: Add SPI Flash controller 4 years ago
sync_fifo.vhdl litedram: Add an L2 cache with store queue 4 years ago
syscon.vhdl syscon: Add flag to indicate the timebase frequency 4 years ago
utils.vhdl litedram: Add support for booting without BRAM 4 years ago
wishbone_arbiter.vhdl wb_arbiter: Early master selection 5 years ago
wishbone_bram_tb.bin ram: Rework main RAM interface 5 years ago
wishbone_bram_tb.vhdl Exit cleanly from testbench on success 4 years ago
wishbone_bram_wrapper.vhdl Add log2ceil and use it in bram code 4 years ago
wishbone_debug_master.vhdl wishbone_debug_master: Fix address auto-increment for memory writes 4 years ago
wishbone_types.vhdl soc: Don't require dram wishbones signals to be wired by toplevel 4 years ago
writeback.vhdl core: Use a busy signal rather than a stall 4 years ago
xics.vhdl xics: Add support for reduced priority field size 4 years ago
xilinx-mult.vhdl execute1: Take an extra cycle for OE=1 multiply instructions 4 years ago

README.md

Microwatt

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com. You may need to set the CROSS_COMPILE environment variable to the prefix used for your cross compilers. The default is powerpc64le-linux-gnu-.
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../

A prebuilt micropython image is also available in the micropython/ directory.

  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Microwatt requires ghdl to be built with the LLVM or gcc backend, which not all distros do (Fedora does, Debian/Ubuntu appears not to). ghdl with the LLVM backend is likely easier to build.

    If building ghdl from scratch is too much for you, the microwatt Makefile supports using Docker or Podman.

  • Next build microwatt:

git clone https://github.com/antonblanchard/microwatt
cd microwatt
make

To build using Docker:

make DOCKER=1

and to build using Podman:

make PODMAN=1
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin

Or if you were using the pre-built image:

ln -s micropython/firmware.bin main_ram.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc

Fedora users can get FuseSoC package via

sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100):
fusesoc run --target=nexys_video microwatt --memory_size=16384 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex

You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button) on your board if you don't see anything.

  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)