A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Paul Mackerras 21ed730514 arty_a7: Add litesdcard interface
This adds litesdcard.v generated from the litex/litesdcard project,
along with logic in top-arty.vhdl to connect it into the system.
There is now a DMA wishbone coming in to soc.vhdl which is narrower
than the other wishbone masters (it has 32-bit data rather than
64-bit) so there is a widening/narrowing adapter between it and the
main wishbone master arbiter.

Also, litesdcard generates a non-pipelined wishbone for its DMA
connection, which needs to be converted to a pipelined wishbone.  We
have a latch on both the incoming and outgoing sides of the wishbone
in order to help make timing (at the cost of two extra cycles of
latency).

litesdcard generates an interrupt signal which is wired up to input 3
of the ICS (IRQ 19).

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
3 years ago
.github/workflows ci: use job.container 3 years ago
constraints Initial support for ghdl synthesis 4 years ago
fpga arty_a7: Add litesdcard interface 3 years ago
hello_world Reduce hello_world footprint to fit in 8kB 3 years ago
include arty_a7: Add litesdcard interface 3 years ago
lib console: Add support for the 16550 UART 4 years ago
litedram fpga: Add support for Genesys2 4 years ago
liteeth liteeth: Hook up LiteX LiteEth ethernet controller 4 years ago
litesdcard arty_a7: Add litesdcard interface 3 years ago
media Add title image 5 years ago
micropython tests: Add updated micropython build with 16550 support 4 years ago
openocd flash-arty: update error message (#203) 4 years ago
rust_lib_demo console: Cleanup console API 4 years ago
scripts decode: Add a facility field to the instruction decode tables 3 years ago
sim-unisim Add a debug (DMI) bus and a JTAG interface to it on Xilinx FPGAs 5 years ago
tests Add a test to read from all SPRs 3 years ago
uart16550 Add uart16550 files from fusesoc 4 years ago
verilator Pass clock frequency to UART sim wrapper 4 years ago
.gitignore Add yosys builds files to gitignore 4 years ago
LICENSE Initial import of microwatt 5 years ago
Makefile Remove unused GHDL_TARGET_GENERICS 3 years ago
README.md Update documentation. (#280) 3 years ago
cache_ram.vhdl Reformat cache_ram 3 years ago
common.vhdl core: Allow multiple loadstore instructions to be in flight 3 years ago
control.vhdl Reformat control 3 years ago
core.vhdl icache: Snoop writes to memory by other agents 3 years ago
core_debug.vhdl core_debug: Stop logging 256 cycles after trigger 3 years ago
core_dram_tb.vhdl Reformat testbenches 3 years ago
core_flash_tb.vhdl Reformat testbenches 3 years ago
core_tb.vhdl Reformat testbenches 3 years ago
countzero.vhdl Reformat countzero 3 years ago
countzero_tb.vhdl Reformat testbenches 3 years ago
cr_file.vhdl Reformat cr_file 3 years ago
crhelpers.vhdl crhelpers: Constraint "crnum" integer 5 years ago
dcache.vhdl dcache: Simplify logic in RELOAD_WAIT_ACK state 3 years ago
dcache_tb.vhdl Reformat testbenches 3 years ago
decode1.vhdl core: Track CR hazards and bypasses using tags 3 years ago
decode2.vhdl core: Allow multiple loadstore instructions to be in flight 3 years ago
decode_types.vhdl core: Crack update-form loads into two internal ops 3 years ago
divider.vhdl Reformat divider 3 years ago
divider_tb.vhdl Exit cleanly from testbench on success 4 years ago
dmi_dtm_dummy.vhdl Fix build issue in dmi_dtm_dummy.vhdl 5 years ago
dmi_dtm_tb.vhdl Reformat testbenches 3 years ago
dmi_dtm_xilinx.vhdl Reset JTAG/DMI 3 years ago
dram_tb.vhdl Reformat testbenches 3 years ago
execute1.vhdl core: Allow multiple loadstore instructions to be in flight 3 years ago
fetch1.vhdl core: Move redirect and interrupt delivery logic to writeback 3 years ago
fpu.vhdl core: Send FPU interrupts to writeback rather than execute1 3 years ago
glibc_random.vhdl Reformat glibc_random 5 years ago
glibc_random_helpers.vhdl Reformat glibc_random 5 years ago
helpers.vhdl core: Add support for single-precision FP loads and stores 4 years ago
icache.vhdl icache: Snoop writes to memory by other agents 3 years ago
icache_tb.vhdl Reformat testbenches 3 years ago
icache_test.bin icache_tb: Improve test and include test file 5 years ago
insn_helpers.vhdl core: Implement quadword loads and stores 3 years ago
loadstore1.vhdl Fix DAR/DSISR reading before they are written 3 years ago
logical.vhdl core: Make result multiplexing explicit 3 years ago
microwatt.core arty_a7: Add litesdcard interface 3 years ago
mmu.vhdl Initialize PID register 3 years ago
multiply.vhdl execute1: Take an extra cycle for OE=1 multiply instructions 4 years ago
multiply_tb.vhdl multiplier: Generalize interface to the multiplier 4 years ago
nonrandom.vhdl Add random number generator and implement the darn instruction 4 years ago
plru.vhdl Reformat plru 3 years ago
plru_tb.vhdl Reformat testbenches 3 years ago
ppc_fx_insns.vhdl core: Implement the cmpeqb and cmprb instructions 4 years ago
random.vhdl Add random number generator and implement the darn instruction 4 years ago
register_file.vhdl Reformat register_file 3 years ago
rotator.vhdl Reformat rotator 3 years ago
rotator_tb.vhdl Reformat testbenches 3 years ago
sim_16550_uart.vhdl uart: Add a simulation model for the 16550 compatible UART 4 years ago
sim_bram.vhdl ram: Rework main RAM interface 4 years ago
sim_bram_helpers.vhdl ram: Rework main RAM interface 4 years ago
sim_bram_helpers_c.c Consolidate VHPI code 4 years ago
sim_console.vhdl Reformat sim_console 5 years ago
sim_console_c.c sim_console: Fix polling to check for POLLIN 4 years ago
sim_jtag.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket_c.c Consolidate VHPI code 4 years ago
sim_no_flash.vhdl spi: Add simulation support 4 years ago
sim_pp_uart.vhdl uart: Rename sim_uart.vhdl to sim_pp_uart.vhdl 4 years ago
sim_vhpi_c.c Consolidate VHPI code 4 years ago
sim_vhpi_c.h Consolidate VHPI code 4 years ago
soc.vhdl arty_a7: Add litesdcard interface 3 years ago
spi_flash_ctrl.vhdl Reformat spi_flash_ctrl 3 years ago
spi_rxtx.vhdl Merge pull request #265 from antonblanchard/another-spi-rxtx-reset-issu 3 years ago
sync_fifo.vhdl litedram: Add an L2 cache with store queue 4 years ago
syscon.vhdl arty_a7: Add litesdcard interface 3 years ago
utils.vhdl litedram: Add support for booting without BRAM 4 years ago
wishbone_arbiter.vhdl wb_arbiter: Early master selection 4 years ago
wishbone_bram_tb.bin ram: Rework main RAM interface 4 years ago
wishbone_bram_tb.vhdl Reformat testbenches 3 years ago
wishbone_bram_wrapper.vhdl Add log2ceil and use it in bram code 4 years ago
wishbone_debug_master.vhdl wishbone_debug_master: Fix address auto-increment for memory writes 4 years ago
wishbone_types.vhdl arty_a7: Add litesdcard interface 3 years ago
writeback.vhdl Reformat writeback 3 years ago
xics.vhdl xics: Add support for reduced priority field size 4 years ago
xilinx-mult.vhdl execute1: Take an extra cycle for OE=1 multiply instructions 4 years ago

README.md

Microwatt

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com. You may need to set the CROSS_COMPILE environment variable to the prefix used for your cross compilers. The default is powerpc64le-linux-gnu-.
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../

A prebuilt micropython image is also available in the micropython/ directory.

  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Microwatt requires ghdl to be built with the LLVM or gcc backend, which not all distros do (Fedora does, Debian/Ubuntu appears not to). ghdl with the LLVM backend is likely easier to build.

    If building ghdl from scratch is too much for you, the microwatt Makefile supports using Docker or Podman.

  • Next build microwatt:

git clone https://github.com/antonblanchard/microwatt
cd microwatt
make

To build using Docker:

make DOCKER=1

and to build using Podman:

make PODMAN=1
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin

Or if you were using the pre-built image:

ln -s micropython/firmware.bin main_ram.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc

Fedora users can get FuseSoC package via

sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
  • If this is your first time using fusesoc, initialize fusesoc. This is needed to be able to pull down fussoc library components referenced by microwatt. Run
fusesoc init
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100): You may wish to ensure you have installed Digilent Board files or appropriate files for your board first.
fusesoc run --target=nexys_video microwatt --memory_size=16384 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex

You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button) on your board if you don't see anything.

  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)