You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/asic
Anton Blanchard 907c833521 Move register stage back after the RAM
The 512x64 DFFRAM has quite big hold violations that we can hopefully
work around by removing the register stage before the RAM.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
2 years ago
..
behavioural Add arrays for ASIC flow 2 years ago
cache_ram.vhdl Add arrays for ASIC flow 2 years ago
main_bram.vhdl Move register stage back after the RAM 2 years ago
microwatt_asic-verilator.cpp Add ASIC target 2 years ago
multiply.vhdl Add arrays for ASIC flow 2 years ago
register_file.vhdl Add arrays for ASIC flow 2 years ago
top-asic.vhdl Add simplebus 2 years ago