You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
microwatt/asic/behavioural
Anton Blanchard ace41e5153 ASIC: Reduce multiplier from 4 to 2 cycles
Our sky130 gate level multiply/adder now makes timing with a single
register stage.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
2 years ago
..
Microwatt_FP_DFFRFile.v Add arrays for ASIC flow 2 years ago
RAM32_1RW1R.v Add arrays for ASIC flow 2 years ago
RAM512.v Add arrays for ASIC flow 2 years ago
multiply_add_64x64.v ASIC: Reduce multiplier from 4 to 2 cycles 2 years ago