A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Anton Blanchard 5a29cb4699 Initial import of microwatt
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
5 years ago
fpga Initial import of microwatt 5 years ago
scripts Initial import of microwatt 5 years ago
tests Initial import of microwatt 5 years ago
.gitignore Initial import of microwatt 5 years ago
LICENSE Initial import of microwatt 5 years ago
Makefile Initial import of microwatt 5 years ago
README.md Initial import of microwatt 5 years ago
common.vhdl Initial import of microwatt 5 years ago
core.vhdl Initial import of microwatt 5 years ago
core_tb.vhdl Initial import of microwatt 5 years ago
cr_file.vhdl Initial import of microwatt 5 years ago
crhelpers.vhdl Initial import of microwatt 5 years ago
decode1.vhdl Initial import of microwatt 5 years ago
decode2.vhdl Initial import of microwatt 5 years ago
decode_types.vhdl Initial import of microwatt 5 years ago
execute1.vhdl Initial import of microwatt 5 years ago
execute2.vhdl Initial import of microwatt 5 years ago
fetch1.vhdl Initial import of microwatt 5 years ago
fetch2.vhdl Initial import of microwatt 5 years ago
glibc_random.vhdl Initial import of microwatt 5 years ago
glibc_random_helpers.vhdl Initial import of microwatt 5 years ago
helpers.vhdl Initial import of microwatt 5 years ago
loadstore1.vhdl Initial import of microwatt 5 years ago
loadstore2.vhdl Initial import of microwatt 5 years ago
multiply.vhdl Initial import of microwatt 5 years ago
multiply_tb.vhdl Initial import of microwatt 5 years ago
ppc_fx_insns.vhdl Initial import of microwatt 5 years ago
register_file.vhdl Initial import of microwatt 5 years ago
sim_console.vhdl Initial import of microwatt 5 years ago
sim_console_c.c Initial import of microwatt 5 years ago
simple_ram_behavioural.vhdl Initial import of microwatt 5 years ago
simple_ram_behavioural_helpers.vhdl Initial import of microwatt 5 years ago
simple_ram_behavioural_helpers_c.c Initial import of microwatt 5 years ago
simple_ram_behavioural_tb.bin Initial import of microwatt 5 years ago
simple_ram_behavioural_tb.vhdl Initial import of microwatt 5 years ago
wishbone_arbiter.vhdl Initial import of microwatt 5 years ago
wishbone_types.vhdl Initial import of microwatt 5 years ago
writeback.vhdl Initial import of microwatt 5 years ago

README.md

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com
git clone https://github.com/mikey/micropython
cd micropython
git checkout powerpc
cd ports/powerpc
make -j$(nproc)
cd ../../../
  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Next build microwatt:
git clone https://github.com/antonblanchard/microwatt
cd microwatt
make
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin simple_ram_behavioural.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • Need to implement a simple non pipelined divide
  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)