Fix build issue in dmi_dtm_dummy.vhdl

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
jtag-port
Anton Blanchard 5 years ago committed by Anton Blanchard
parent b57325ce29
commit 8102e7863b

@ -22,6 +22,7 @@ entity dmi_dtm is
end entity dmi_dtm; end entity dmi_dtm;


architecture behaviour of dmi_dtm is architecture behaviour of dmi_dtm is
begin
dmi_addr <= (others => '0'); dmi_addr <= (others => '0');
dmi_dout <= (others => '0'); dmi_dout <= (others => '0');
dmi_req <= '0'; dmi_req <= '0';

Loading…
Cancel
Save