A tiny Open POWER ISA softcore written in VHDL 2008
You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 
 
 
 
Go to file
Anton Blanchard db937403ec Initial support for ghdl synthesis
A first pass at ghdl synthesis using yosys and nextpnr. It runs hello world
or micropython if the FPGA has enough block RAM (eg ECP5 85F). The hello
world testcase also loops UART rx to tx in software (ie not a hardware
loopback).

It uses Docker images, so no software needs to be installed. If you prefer
podman you can use that too. Edit Makefile.synth to configure your FPGA,
JTAG device etc.

To build:

make -f Makefile.synth

and to program:

make -f Makefile.synth prog

A few issues:

We need to add PLL support. Right now Microwatt runs at whatever the
external clock frequency is and the baud rate gets scaled by how far off
50MHz it is. This means on the ecp5-evn with a 12 MHz clock rate the baud
rate is a quite strange 27650 (115200 * 50 / 12). On my OrangeCrab with a
50MHz clock the UART is 115200.

It uses a large amount of resources, way more than it should. There are
still some ghdl/yosys issues to be sorted out.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
4 years ago
constraints Initial support for ghdl synthesis 4 years ago
fpga Add a few FFs on the RX input to avoid metastability issues 4 years ago
hello_world hello_world updates 4 years ago
media Add title image 5 years ago
micropython Update micropython 4 years ago
openocd Initial support for ghdl synthesis 4 years ago
scripts Improve dependencies.py and add a --synth option 4 years ago
sim-unisim Add a debug (DMI) bus and a JTAG interface to it on Xilinx FPGAs 5 years ago
tests Dump CTR, LR and CR on sim termination, and update our tests 4 years ago
.gitignore Update gitignore for new test bench build files 5 years ago
.travis.yml Allow a full make check on Travis 5 years ago
LICENSE Initial import of microwatt 5 years ago
Makefile Fix Makefile dependency issue with files in vhdl/* 4 years ago
Makefile.synth Initial support for ghdl synthesis 4 years ago
README.md Point to upstream micropython 4 years ago
cache_ram.vhdl dcache: Introduce an extra cycle latency to make timing 5 years ago
common.vhdl Fix a ghdlsynth issue in fast_spr_num 4 years ago
control.vhdl control: Fix build issue with Fedora 31 version of GHDL 4 years ago
core.vhdl Fix a ghdlsynth issue in icache 4 years ago
core_debug.vhdl fetch/icache: Fit icache in BRAM 5 years ago
core_tb.vhdl Reduce simulated and default FPGA RAM to 384kB 4 years ago
countzero.vhdl countzero: Reorganize to have fewer levels of logic and fewer LUTs 5 years ago
countzero_tb.vhdl countzero: Add a testbench 5 years ago
cr_file.vhdl Dump CTR, LR and CR on sim termination, and update our tests 4 years ago
cr_hazard.vhdl sprs: Store common SPRs in register file 4 years ago
crhelpers.vhdl crhelpers: Constraint "crnum" integer 5 years ago
dcache.vhdl Add basic XER support 4 years ago
dcache_tb.vhdl ram: Rework main RAM interface 5 years ago
decode1.vhdl Implement CRNOR and friends 4 years ago
decode2.vhdl decode2: Minor cleanup 4 years ago
decode_types.vhdl sprs: Store common SPRs in register file 4 years ago
divider.vhdl Remove unused signal 4 years ago
divider_tb.vhdl writeback: Do data formatting and condition recording in writeback 5 years ago
dmi_dtm_dummy.vhdl Fix build issue in dmi_dtm_dummy.vhdl 5 years ago
dmi_dtm_tb.vhdl ram: Rework main RAM interface 5 years ago
dmi_dtm_xilinx.vhdl Don't reset JTAG request register asynchronously 5 years ago
execute1.vhdl Fix a ghdysynth inferred latch error in execute 4 years ago
fetch1.vhdl fetch/icache: Fit icache in BRAM 5 years ago
fetch2.vhdl fetch2: Remove blank line 5 years ago
glibc_random.vhdl Reformat glibc_random 5 years ago
glibc_random_helpers.vhdl Reformat glibc_random 5 years ago
gpr_hazard.vhdl sprs: Store common SPRs in register file 4 years ago
helpers.vhdl execute: Copy XER[SO] to CR for cmp[i] and cmpl[i] instructions 4 years ago
icache.vhdl Fix a ghdlsynth issue in icache 4 years ago
icache_tb.vhdl ram: Rework main RAM interface 5 years ago
icache_test.bin icache_tb: Improve test and include test file 5 years ago
insn_helpers.vhdl Implement CRNOR and friends 4 years ago
loadstore1.vhdl Add basic XER support 4 years ago
logical.vhdl Consolidate logical instructions 5 years ago
microwatt.core ram: Rework main RAM interface 5 years ago
multiply.vhdl Add basic XER support 4 years ago
multiply_tb.vhdl writeback: Do data formatting and condition recording in writeback 5 years ago
plru.vhdl plru: Improve sensitivity list 5 years ago
plru_tb.vhdl plru: Add a simple PLRU module 5 years ago
ppc_fx_insns.vhdl sprs: Store common SPRs in register file 4 years ago
register_file.vhdl Fix ghdlsynth issue in register file 4 years ago
rotator.vhdl Add a rotate/mask/shift unit and use it in execute1 5 years ago
rotator_tb.vhdl Add a rotate/mask/shift unit and use it in execute1 5 years ago
sim_bram.vhdl ram: Rework main RAM interface 5 years ago
sim_bram_helpers.vhdl ram: Rework main RAM interface 5 years ago
sim_bram_helpers_c.c ram: Rework main RAM interface 5 years ago
sim_console.vhdl Reformat sim_console 5 years ago
sim_console_c.c Make sim poll non-blocking 5 years ago
sim_jtag.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket.vhdl Add jtag support in simulation via a socket 5 years ago
sim_jtag_socket_c.c debug/sim: Make connect/disconnect messages quieter 5 years ago
sim_uart.vhdl Share soc.vhdl between FPGA and sim 5 years ago
soc.vhdl Removed unused core_terminated signal 4 years ago
utils.vhdl Add log2ceil and use it in bram code 4 years ago
wishbone_arbiter.vhdl wb_arbiter: Early master selection 5 years ago
wishbone_bram_tb.bin ram: Rework main RAM interface 5 years ago
wishbone_bram_tb.vhdl ram: Rework main RAM interface 5 years ago
wishbone_bram_wrapper.vhdl Add log2ceil and use it in bram code 4 years ago
wishbone_debug_master.vhdl wb_debug: Add wishbone pipelining support 5 years ago
wishbone_types.vhdl wb_arbiter: Make arbiter size parametric 5 years ago
writeback.vhdl Fix a ghdysynth inferred latch error in writeback 4 years ago

README.md

Microwatt

Microwatt

A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy to understand.

Simulation using ghdl

MicroPython running on Microwatt

You can try out Microwatt/Micropython without hardware by using the ghdl simulator. If you want to build directly for a hardware target board, see below.

  • Build micropython. If you aren't building on a ppc64le box you will need a cross compiler. If it isn't available on your distro grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com
git clone https://github.com/micropython/micropython.git
cd micropython
cd ports/powerpc
make -j$(nproc)
cd ../../../
  • Microwatt uses ghdl for simulation. Either install this from your distro or build it. Next build microwatt:
git clone https://github.com/antonblanchard/microwatt
cd microwatt
make
  • Link in the micropython image:
ln -s ../micropython/ports/powerpc/build/firmware.bin main_ram.bin
  • Now run microwatt, sending debug output to /dev/null:
./core_tb > /dev/null

Synthesis on Xilinx FPGAs using Vivado

  • Install Vivado (I'm using the free 2019.1 webpack edition).

  • Setup Vivado paths:

source /opt/Xilinx/Vivado/2019.1/settings64.sh
  • Install FuseSoC:
pip3 install --user -U fusesoc

Fedora users can get FuseSoC package via

sudo dnf copr enable sharkcz/danny
sudo dnf install fusesoc
  • Create a working directory and point FuseSoC at microwatt:
mkdir microwatt-fusesoc
cd microwatt-fusesoc
fusesoc library add microwatt /path/to/microwatt/
  • Build using FuseSoC. For hello world (Replace nexys_video with your FPGA board such as --target=arty_a7-100):
fusesoc run --target=nexys_video microwatt --memory_size=8192 --ram_init_file=/path/to/microwatt/fpga/hello_world.hex

You should then be able to see output via the serial port of the board (/dev/ttyUSB1, 115200 for example assuming standard clock speeds). There is a know bug where initial output may not be sent - try the reset (not programming button on your board if you don't see anything.

  • To build micropython (currently requires 1MB of BRAM eg an Artix-7 A200):
fusesoc run --target=nexys_video microwatt

Testing

  • A simple test suite containing random execution test cases and a couple of micropython test cases can be run with:
make -j$(nproc) check

Issues

This is functional, but very simple. We still have quite a lot to do:

  • There are a few instructions still to be implemented
  • Need to add caches and bypassing (in progress)
  • Need to add supervisor state (in progress)